Skip to main content

previous disabled Page of 2
and
  1. No Access

    Article

    Design and Characterization of Fabry–Pérot MEMS-Based Short-Wave Infrared Microspectrometers

    Microspectrometers based on the monolithic integration of a microelectromechanical system (MEMS) Fabry–Pérot filter and a Hg x Cd1–x Te-based infrared det...

    A.J. Keating, J. Antoszewski, K.K.M.B.D. Silva in Journal of Electronic Materials (2008)

  2. No Access

    Article

    Optical and Structural Properties of CdTe Grown by Molecular Beam Epitaxy at Low Temperature for Resonant-Cavity-Enhanced HgCdTe Detectors

    Investigation into resonant-cavity-enhanced (RCE) HgCdTe detectors has revealed a discrepancy in the refractive index of the CdTe layers grown by molecular beam epitaxy (MBE) for the detectors, compared with t...

    J.G.A. Wehner, R.H. Sewell, C.A. Musca, J.M. Dell in Journal of Electronic Materials (2007)

  3. No Access

    Article

    Effect of High-Density Plasma Process Parameters on Carrier Transport Properties in p-to-n Type Converted Hg0.7Cd0.3Te Layer

    Exposure of p-type HgCdTe material to Ar/H2-based plasma is known to result in p-to-n conductivity-type conversion. While this phenomenon is generally undesirable when aiming to perform physical etching for devic...

    B.A. Park, C.A. Musca, J. Antoszewski, J.M. Dell in Journal of Electronic Materials (2007)

  4. No Access

    Article

    Magneto-Transport Characterization of p-Type HgCdTe

    The electrical properties of semiconductor materials have conventionally been extracted via Hall measurements performed at a single magnetic field. When applied to a semiconductor such as HgCdTe with mixed con...

    G.K.O. Tsen, C.A. Musca, J.M. Dell, J. Antoszewski in Journal of Electronic Materials (2007)

  5. No Access

    Article

    Investigation of 1/f Noise Mechanisms in Midwave Infrared HgCdTe Gated Photodiodes

    In this work, gated midwave infrared (MWIR) Hg1–x Cd x Te photodiodes are used to investigate the physical origin of 1/f noise generation. Gated photodio...

    R.J. Westerhout, C.A. Musca, J. Antoszewski, J.M. Dell in Journal of Electronic Materials (2007)

  6. No Access

    Article

    Determination of HgCdTe elasto-plastic properties using nanoindentation

    Depth sensing indentation has been used to investigate the elasto-plastic behavior of Hg0.7Cd0.3Te prepared by molecular beam epitaxy, liquid phase epitaxy, as well as of bulk Hg0.7Cd0.3Te prepared by the modifie...

    M. Martyniuk, R. H. Sewell, C. A. Musca, J. M. Dell in Journal of Electronic Materials (2006)

  7. No Access

    Article

    A monolithically integrated HgCdTe short-wavelength infrared photodetector and micro-electro-mechanical systems-based optical filter

    A monolithically integrated low-temperature micro-electro-mechanical systems (MEMS) and HgCdTe infrared (IR) detector technology is introduced, implemented, and characterized. The ultimate aim of this project ...

    J. Antoszewski, K. J. Winchester, A. J. Keating in Journal of Electronic Materials (2005)

  8. No Access

    Article

    High-resolution X-ray diffraction studies of molecular beam epitaxy-grown HgCdTe heterostructures and CdZnTe substrates

    Lattice mismatch between substrates and epitaxial layers of different molefractions can create a variety of distortions and defects in Hg(1−x)Cd(x)Te epilayers, thus degrading the performance of infrared detector...

    R. H. Sewell, C. A. Musca, J. M. Dell, L. Faraone in Journal of Electronic Materials (2005)

  9. No Access

    Article

    Mercury cadmium telluride/cadmium telluride distributed bragg reflectors for use with resonant cavity-enhanced detectors

    A HgCdTe/CdTe system is investigated for use in distributed Bragg reflectors. The modeled performance is described and compared to an as-grown structure. As-grown 15-layer structures with arithmetically varyin...

    J. G. A. Wehner, R. H. Sewell, J. Antoszewski in Journal of Electronic Materials (2005)

  10. No Access

    Article

    Resonant cavity-enhanced mercury cadmium telluride detectors

    The next-generation mercury cadmium telluride (HgCdTe) detectors will need to be able to spectrally resolve images to a degree far exceeding that currently available in two or even three color techniques. Howe...

    J. G. A. Wehner, T. N. Nguyen, J. Antoszewski in Journal of Electronic Materials (2004)

  11. No Access

    Article

    Laser-beam-induced current map** of spatial nonuniformities in molecular beam epitaxy As-grown HgCdTe

    The formation of dislocations and corresponding built-in electric fields in molecular beam epitaxy (MBE)-grown HgCdTe can have a major impact on the performance and yield of photodetectors fabricated from this...

    R. H. Sewell, C. A. Musca, J. Antoszewski, J. M. Dell in Journal of Electronic Materials (2004)

  12. No Access

    Article

    Correlation of laser-beam-induced current with current-voltage measurements in HgCdTe photodiodes

    Laser-beam-induced current (LBIC) is being investigated as an alternative to electrical measurements of individual photodiodes in a two-dimensional array. This is possible because LBIC only requires two electr...

    D. A. Redfern, C. A. Musca, J. M. Dell, L. Faraone in Journal of Electronic Materials (2004)

  13. No Access

    Article

    Dark currents in long wavelength infrared HgCdTe gated photodiodes

    The fabrication of HgCdTe photodiodes using plasma-induced p-to-n type conversion for junction formation shows promise in improving array uniformity and device yields in comparison to more traditional fabricat...

    T. Nguyen, C. A. Musca, J. M. Dell, J. Antoszewski in Journal of Electronic Materials (2004)

  14. No Access

    Article

    Minority carrier lifetime and noise in abrupt molecular-beam epitaxy-grown HgCdTe heterostructures

    The steady-state lifetime of photogenerated minority carriers has been investigated in heterostructure HgCdTe devices fabricated on molecular-beam epitaxy (MBE) grown material. A wider bandgap cap** layer (Hg(1...

    R. Sewell, C. A. Musca, J. M. Dell, L. Faraone in Journal of Electronic Materials (2003)

  15. No Access

    Article

    Small two-dimensional arrays of mid-wavelength infrared HgCdTe diodes fabricated by reactive ion etching-induced p-to-n-type conversion

    The reactive ion etching (RIE) technique has been shown to produce high-performance n-on-p junctions by localized-type conversion of p-type mid-wavelength infrared (MWIR) HgCdTe material. This paper presents v...

    J. Antoszewski, C. A. Musca, J. M. Dell, L. Faraone in Journal of Electronic Materials (2003)

  16. No Access

    Article

    HgCdTe long-wavelength infrared photovoltaic detectors fabricated using plasma-induced junction formation technology

    A long-wavelength infrared (LWIR) HgCdTe photodiode fabrication process has been developed based on reactive ion etching (RIE) plasma-induced p-to-n type conversion for junction formation. The process has been...

    T. Nguyen, C. A. Musca, J. M. Dell, J. Antoszewski in Journal of Electronic Materials (2003)

  17. No Access

    Article

    Planar p-on-n HgCdTe heterojunction mid-wavelength infrared photodiodes formed using plasma-induced junction isolation

    Planar p-on-n HgCdTe heterojunction photodiodes have been fabricated using a plasma-induced type conversion process for device junction isolation. The technique is presented as a fully planar alternative techn...

    C. A. Musca, J. Antoszewski, J. M. Dell, L. Faraone in Journal of Electronic Materials (2003)

  18. No Access

    Article

    Thermal Stability in HgCdTe IR Photodiodes

    Packaging of HgCdTe photodiode detector arrays in a dewar involves degassing at elevated temperatures for several days so as to achieve vacuum integrity. This sustained exposure to relatively high temperatures...

    J. M. Dell, T. Nguyen, C. A. Musca, J. Antoszewski in MRS Online Proceedings Library (2003)

  19. No Access

    Article

    Passivation effects on reactive-ion-etch-formed n-on-p junctions in HgCdTe

    The formation of n-on-p junctions by reactive ion etching (RIE) of HgCdTe using an H2/CH4 plasma has previously been demonstrated to produce high-performance photodiodes. To fully exploit the inherent advantages ...

    J. K. White, J. Antoszewski, R. Pal, C. A. Musca in Journal of Electronic Materials (2002)

  20. No Access

    Article

    Transport properties of reactive-ion-etching-induced p-to-n type converted layers in HgCdTe

    In this work, the effect of the reactive ion etching (RIE)-induced p-to-n type conversion process on the transport properties of HgCdTe is investigated. Magnetic-field-dependent differential Hall and resistivi...

    T. Nguyen, J. Antoszewski, C. A. Musca, D. A. Redfern in Journal of Electronic Materials (2002)

previous disabled Page of 2