A Comprehensive Analysis in Recent Advances in 3D VLSI Floorplan Representations

  • Conference paper
  • First Online:
Advances in VLSI and Embedded Systems

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 962))

  • 401 Accesses

Abstract

Floorplan is one of the most critical steps of the physical design of VLSI Design flow. Decreasing size, interconnects, power consumption, and chip leakage are always on the top priority list for consumers and researchers. This article presents the latest advancements in one of the hot research topics in VLSI Physical Design: 3D Floorplanning. A lot of research articles have been studied for this article, and only major research points from some chosen relevant to 3D architecture articles have been incorporated in this paper. The 3D VLSI floorplan field is quite vast than the 2D VLSI floorplan and is comparatively less explored. This article reviews various aspects of floorplanning that cover floorplanning based on volume, tiers, vias, TSVs, and other representations of 3D VLSI Floorplan. These techniques, when applied as algorithms, help in simplifying the problem. These algorithms help optimize results that increase the chip’s overall performance. Some of the central representations have been incorporated in Sect. 5. Conclusion with research gap and future scope is described in the end.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Bernstein, K., Andry, P., Cann, J., Emma, P., Greenberg, D., Haensch, W., Ignatowski, M., Koester, S., Magerlein, J., Puri, R., & Young, A. (2007). Interconnects in the third dimension: Design challenges for 3D ICs. In 44th ACM/IEEE Design Automation Conference (pp. 562–567). San Diego, CA, USA.

    Google Scholar 

  2. Sheng, S., Chandrakasan, A., & Brodersen, R. W. (1992). A portable multimedia terminal. IEEE Communications Magazine, 30(12), 64–75.

    Article  Google Scholar 

  3. Salewski, S., & Barke, E. (2002). An upper bound for 3D slicing floorplans. In Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design (pp. 567–572). India.

    Google Scholar 

  4. Yuh, P.-H., Yang, C.-L., Chang, Y.-W., & Chen, H.-L. (2004). Temporal floorplanning using 3D-subTCG. In ASP-DAC 2004: Asia and South Pacific Design Automation Conference (pp. 725–730). Yokohama, Japan.

    Google Scholar 

  5. Yuh, P.-H., Yang, C.-L., Chang, Y.-W., & Chen, H.-L. (2004). Temporal floorplanning using the T-tree formulation. In: IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004 (pp. 300–305). San Jose, CA, USA.

    Google Scholar 

  6. Cong, J., Wei, J., & Zhang, Y. (2004). A thermal-driven floorplanning algorithm for 3D ICs. In IEEE/ACM International Conference on Computer Aided Design, ICCAD-2004 (pp. 306–313). San Jose, CA, USA.

    Google Scholar 

  7. Ma, Y., Hong, X., Dong, S., & Cheng, C. K. (2005). 3D CBL: An efficient algorithm for general 3D packing problems. In: 48th Midwest Symposium on Circuits and Systems (pp. 1079–1082). Covington, KY, USA.

    Google Scholar 

  8. Hong, X., Huang, G., Cai, Y., Gu, J., Dong, S., Cheng, C.-K., & Gu, J. (2000). Corner block list: an effective and efficient topological representation of non-slicing floorplan. In IEEE/ACM International Conference on Computer Aided Design. ICCAD 2000. IEEE/ACM Digest of Technical Papers (pp. 8–12). San Jose, CA, USA

    Google Scholar 

  9. Cheng, L., Deng, L., & Wong, M. D. F. (2005). Floorplanning for 3-D VLSI design. In Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference (pp. 405–411). Shanghai, China.

    Google Scholar 

  10. Dong, S., Wang, R., Guo, F., Yuan, J., & Hong, X. (2006). Floorplanning by a revised 3-D corner block list with sub-C+-tree. In 9th Joint International Conference on Information Sciences (JCIS-06) (pp. 429–432). Atlantis Press.

    Google Scholar 

  11. Wong, E., Minz, J., & Lim, S. K. (2006). Multi-objective module placement for 3-D system-on-package. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14(5), 553–557.

    Google Scholar 

  12. Zhang, L., Dong, S., Hong, X., & Ma, Y. (2007). A fast 3D-BSG algorithm for 3D packing problem. In 2007 IEEE International Symposium on Circuits and Systems (pp. 2044–2047). New Orleans, LA, USA.

    Google Scholar 

  13. Li, Z., Hong, X., Zhou, Q., Zeng, S., Bian, J., Yu, W., Yang, H. H., Pitchumani, V., & Cheng, C.-K. (2007). Efficient thermal via planning approach and its application in 3-D floorplanning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(4), 645–658.

    Article  Google Scholar 

  14. Falkenstern, P., **e, Y., Chang, Y.-W., & Wang, Y.: Three-dimensional integrated circuits (3D IC) floorplan and power/ground network co-synthesis. In 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC) (pp. 169–174). Taipei, Taiwan.

    Google Scholar 

  15. Frantz, F., Labrak, L., & O’Connor, I. (2011). 3D-IC floorplanning: Applying meta-optimization to improve performance. In 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip (pp. 404–409). Hong Kong, China.

    Google Scholar 

  16. Nain, R. K., & Chrzanowska-Jeske, M. (2011). Fast placement-aware 3-D floorplanning using vertical constraints on sequence pairs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(9), 1667–1650.

    Google Scholar 

  17. Li, C., Mak, W., & Wang, T. (2013). Fast fixed-outline 3-D IC floorplanning with TSV co-placement. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21(3), 523–532.

    Google Scholar 

  18. Wen, C., Chen, Y., & Ruan, S. (2013). Cluster-based thermal-aware 3D-floorplanning technique with post-floorplan TTSV insertion at via-channels. In Fifth Asia Symposium on Quality Electronic Design (ASQED 2013) (pp. 200–207). Penang, Malaysia.

    Google Scholar 

  19. Khan, A. K., Vatsa, R., Roy, S., & Das, B. (2014). A new efficient topological structure for floorplanning in 3D VLSI physical design. In 2014 IEEE International Advance Computing Conference (IACC) (pp. 696–701). Gurgaon, India.

    Google Scholar 

  20. Chen, Y., & Ruan, S. (2015). A cluster-based reliability- and thermal-aware 3D floorplanning using redundant STSVs. In 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) (pp. 349–354). Daejeon, Korea (South).

    Google Scholar 

  21. Quiring, A., Olbrich, M., & Barke, E. (2015). Fast global interconnnect driven 3D floorplanning. In 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) (pp. 313–318). Daejeon, Korea (South).

    Google Scholar 

  22. Song, T., Panth, S., Chae, Y.-J., & Lim, S.K. (2015) Three-tier 3D ICs for more power reduction: Strategies in CAD, design, and bonding selection. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD ’15) (pp. 752–757). Austin, TX, USA: IEEE Press.

    Google Scholar 

  23. Chan, W. J., Kahng, A. B., & Li, J. (2016). Revisiting 3DIC benefit with multiple tiers. In Proceedings of ASP-DAC/VLSI Design 2002. 2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP) (pp. 1–8). Austin, TX, USA.

    Google Scholar 

  24. Wang, R., Young, E. F. Y., & Cheng, C. (2009). Representing topological structures for 3-D floorplanning. In 2009 International Conference on Communications, Circuits and Systems (pp. 1098–1102). Milpitas, CA, USA.

    Google Scholar 

  25. Alpert, C. J. (1998). The ISPD98 circuit benchmark suite. In Proceedings of the 1998 International Symposium on Physical Design (ISPD ’98) (pp. 80–85). NY, USA: Association for Computing Machinery.

    Google Scholar 

  26. Tsai, M., Wang, T., & Hwang, T. (2011). Through-silicon via planning in 3-D floorplanning. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(8), 1448–1457.

    Google Scholar 

  27. Li, J. X., Liu, W., Du, H., Wang, Y., Ma, Y., & Yang, H. (2013). Whitespace-aware TSV arrangement in 3D clock tree synthesis. In 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (pp. 115–120). Natal, Brazil.

    Google Scholar 

  28. Wilkerson, P., Raman, A., & Turowski, M. (2004). Fast, automated thermal simulation of three-dimensional integrated circuits. In The Ninth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (pp. 706–713). Las Vegas, NV, USA.

    Google Scholar 

  29. Cong, J., Luo, G., Wei, J., & Zhang, Y. (2007). Thermal-aware 3D IC placement via transformation. In 2007 Asia and South Pacific Design Automation Conference (pp. 780–785). Yokohama, Japan.

    Google Scholar 

  30. Ma, Y., Dong, S., Hong, X., Cai, Y., Cheng, C.-K., & Gu, J. (2001). VLSI floorplanning with boundary constraints based on corner block list. In Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference (pp. 509–514). Yokohama, Japan.

    Google Scholar 

  31. Young, F. Y., & Wong, D. F. (1999). Slicing floorplans with boundary constraint. In Proceedings of the ASP-DAC ’99 Asia and South Pacific Design Automation Conference (pp. 17–20). Hong Kong, China.

    Google Scholar 

  32. Nakatake, S., Fujiyoshi, K., Murata, H., & Kajitani, Y. (1996). Module placement on BSG-structure and IC layout applications. In Proceedings of International Conference on Computer Aided Design (pp. 484–491). San Jose, CA, USA.

    Google Scholar 

  33. Chang, Y.-C., Chang, Y.-W., Wu, G.-M., & Wu, S.-W. (2000). B*-trees: a new representation for non-slicing floorplans. In Proceedings 37th Design Automation Conference (pp. 458–463). Los Angeles, CA, USA.

    Google Scholar 

Download references

Acknowledgments

This work is supported by I.K. Gujral Punjab Technical University, Kapurthala, India. The authors would like to extend their gratitude to the university for all the support.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rohin Gupta .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Gupta, R., Gill, S.S. (2023). A Comprehensive Analysis in Recent Advances in 3D VLSI Floorplan Representations. In: Darji, A.D., Joshi, D., Joshi, A., Sheriff, R. (eds) Advances in VLSI and Embedded Systems. Lecture Notes in Electrical Engineering, vol 962. Springer, Singapore. https://doi.org/10.1007/978-981-19-6780-1_20

Download citation

  • DOI: https://doi.org/10.1007/978-981-19-6780-1_20

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-19-6779-5

  • Online ISBN: 978-981-19-6780-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics

Navigation