Introduction

Advanced nanofluidic systems1,2, for example, nanochannels3,4 and nanopores5,6, have enabled manipulation of deoxyribonucleic acid (DNA) biopolymers with unprecedented control by exploiting the complex fluidic dynamic interactions with nanostructures, such as nanoconfinement induced stretching3,4, collision induced straddling and stretching7, and lateral displacement induced separation8. These systems have demonstrated effective sorting4,8,9,10, sensing5,6,11,12,13,14 and analysis15,16 at low sample concentration and even single-molecule level. In addition, on-chip integration with electronic components11,17 can significantly improve the nanofluidic functionality and reduce the device footprint, which are essential to realizing ubiquitous, compact, high-sensitivity and cost-effective biomolecular sensors. However, unlike complementary metal-oxide semiconductor (CMOS) chips which utilize a relatively small range of feature dimensions at one particular level, nanofluidic chips must integrate more sophisticated three-dimensional architectures incorporating vacant and sealed nanostructures with dimensions spanning several orders of magnitude to optimally manipulate and detect biomolecules. The stringent requirement of reliably forming and sealing complex and small nanostructures makes it very challenging to fabricate nanofluidic chips over a wafer scale by current CMOS processes, and thus has seriously hindered electronics integration.

Conventionally, nanofluidic chip fabrication processes generally exploit one or a combination of the following approaches (Supplementary Note 1 and Supplementary Fig. 1): selective sealing18,Full size image

In our fabrication strategy, we incorporated several important features to build functional nanofluidic chips for manipulating DNA molecules7. First, the nanofluidic chips are compatible with high-resolution fluorescence imaging7, by employing relatively long microfluidic structures (30 mm) that leave ample space for a microscope objective. Second, the fluidic structural dimensions on each chip span three orders of magnitude, from >10 μm for microfluidics to <10 nm for nanofluidics, in order to integrate different functionalities, that is, microstructures for fast fluidic transport and single-digit nanometre features for DNA manipulation and detection. Here we patterned sacrificial Si layers in two separate stages to fulfil these distinct requirements, and defined the critical structural dimensions by a three-level mixed lithography method, combining mid-ultraviolet contact lithography (MUV), deep-ultraviolet projection optical lithography (DUV), and electron beam lithography (EBL). Third, the micro- and nano-fluidic channels are patterned as two-dimensional meshes to ensure structural robustness with high-density support pillars, to minimize undesired dishing effects during surface planarization, and to facilitate DNA stretching7.

Inlaid microfluidic structure patterning

First we prepared the 200-mm Si wafer with plasma enhanced chemical vapour deposition (PECVD) of silicon dioxide (SiO2) and then deposited a blanket layer of sacrificial α-Si of nominally 2-μm-thick. The α-Si microstructures were patterned by MUV lithography and plasma etch (details in ‘Methods’ section). The α-Si microstructure must be planarized for the subsequent high-fidelity lithographic patterning. This was achieved by conformally coating α-Si with tetraethyl-orthosilicate (TEOS)-based 100 nm-thick SiO2, followed by relatively less conformal yet more efficiently deposited 2-μm-thick PECVD SiO2. After that, the topology of SiO2 surface projected from the bottom α-Si patterns was removed by chemical mechanical polishing (CMP) to create the embedded α-Si structure with a flat surface (details in Supplementary Fig. 2, Supplementary Note 2 and ‘Methods’ section). After CMP, the surface height difference between interlaid Si mesh (10 μm wide) and SiO2 pillar (20 μm wide) regions was found to be significantly reduced, from ∼2.2 μm (Supplementary Fig. 3) to ∼40 nm (Supplementary Fig. 4), which meets the requirements for the subsequent high-fidelity, reliable nanopatterning.

Si nanostructure fabrication

After creating the inlaid Si microstructures, we patterned thin α-Si structures (40 or 100 nm in our experiments) on top of the thick α-Si microfluidic structures (Fig. 2a–d), using a three-level mixed lithography (detailed scheme given in Supplementary Fig. 5 and Supplementary Note 3), that is, Nanometre scale (<100 nm) fluidic channels (nC) defined by EBL, submicron and nanometre scale functional nanochannels and nanopillars by DUV lithography for controlled hydrodynamic DNA interactions and stretching at pillar interface7, and micrometre scale fluidic channels (μC) defined by MUV lithography for fluidic connection and sample delivery. The three lithographic levels were patterned separately and then transferred together into the same hard mask (HM) layer (here a 20 nm PECVD SiO2 layer) on top of the thin α-Si device layer.

Figure 2: Three-level mixed lithography to fabricate Si sacrificial nanostructures.
figure 2

(ad) Fabrication scheme of patterning process (top: cross-sectional view in X–Z plane; bottom: top view in X–Y plane): (a) Combined nanopatterning of nanofluidic channel structures (nC) in deep ultraviolet (DUV) resist and EBL-defined hydrosilsesquioxane (HSQ) resist on an organic planarization layer (OPL)/SiO2 HM (hard mask)/α-Si film stack, with inlaid Si microchannels (μC) embedded and planarized in the substrate; (b) Nanofluidic structures transferred to HM layer; (c) MUV-patterned μC in resist; (d) α-Si fluidic structures with critical dimensions defined by MUV, DUV and EBL. (e-j) Fabricated nanofluidic structures: (e) Scanning electron microscope (SEM) image showing well-aligned DUV and EBL fabricated nanostructures before SiO2 cap**; (f-j) Cross-sectional TEM images showing as small as <5 nm wide Si nanostructures in SiO2 cap** layer. Scale bar in figure (e) is 2 μm.

In EBL, established CMOS fabrication infrastructure at IBM T.J. Watson research center was used to achieve high resolution and repeatable nanopatterning31. To achieve a good control of feature dimensions and maximize the process yields, monitor wafers were added to each batch and processed together with device wafers. This approach enabled us to optimize the recipes for the nanostructure patterning and minimize batch-to-batch and wafer-to-wafer variations. Selected scanning electron microscopy (SEM) images of the EBL-patterned nanofeatures are shown in Supplementary Fig. 6. Particularly, we used hydrosilsesquioxane (HSQ, 20 nm thick) resist on top of an organic planarization layer (OPL, 65 nm thick) for patterning critical nanostructures. Then a 450 nm-thick DUV resist was printed to form submicron nanopillars and nanochannels that are aligned and connected to the HSQ nanopatterns (Fig. 2a). The DUV resist and HSQ resist together provided the mask for etching the nanofluidic structures into the OPL underneath and subsequently into the SiO2 HM layer (Fig. 2b). The α-Si layer was also micro-patterned by MUV lithography (Fig. 2c), therefore it was connected to thick inlaid α-Si vertically and the EBL/DUV printed α-Si nanostructures laterally to complete the fluidic paths from one port to another, protected the inlaid α-Si microstructures from plasma etch damage with slightly larger lateral dimensions (2.5 μm larger in our design), and turned the α-Si film into micro-meshes separated by SiO2 posts to improve the structural integrity of the fluidics-sealing SiO2 layer.

To minimize alignment errors in the three-level mixed lithography, all the three lithographic levels as well as the inlaid Si microstructures were aligned back to the same set of alignment marks, which were printed on the wafer before patterning inlaid Si microstructures. This alignment strategy was critical to reliably creating fluidic structures into a single Si layer with dimensions spanning several orders of magnitude. The fabricated nanofluidic structures (Fig. 2e and Supplementary Fig. 6) show a good alignment between DUV- and EBL-fabricated nanostructures. After nanopatterning a 40 nm-thick α-Si layer by plasma etch, we deposited TEOS-based thermal SiO2 (100 nm) followed by PECVD SiO2 (∼2 μm) to cap the α-Si nanostructures. Cross-sectional transmission electron microscope (TEM) images show α-Si lines of different dimensions defined by EBL, from ∼60 nm to as small as <5 nm wide (Fig. 2f–j).

Another unique advantage of our strategy is its potential in precise dimension tuning at Angstrom level. For example, Si nanofluidic structures can be controllably wet etched at a low rate of 25 Å per min (ref. 32), essentially allowing angstrom-level controlled reduction of the dimensions. Such a precise control at single-digit nanometre dimensions is critical to solid-state DNA sensing applications, because precise sub 5 nm manufacturing is required to linearize a single-stranded (ss) DNA molecule for sensing33 and reliably read DNA bases12,13,14 but so far remains extremely difficult to achieve using other conventional fabrication methods.

Sacrificial Si etching

To form the eventual nanofluidic channels, the Si sacrificial materials need to be completely extracted and then reliably sealed. This was achieved by patterning the cap** SiO2 to provide access holes to the α-Si sacrificial layers (Fig. 3a,b). An important element in this step was to use small holes (300 nm) local to the nanostructures and large ports (1 mm) where the fluidic connections were made. The venting holes play a very critical role in our integration strategy to facilitate fast and efficient Si extraction. In our fluidic chip design, the fluidic ports are separated 30 mm apart to guarantee the design compatibility with single-molecule fluorescence imaging. The venting holes in our design provide >260 000 000 additional ports on a 40 mm by 40 mm chip to effectively transport the volatile etchant and byproducts during XeF2 etching. Without such venting holes, it would take orders of magnitude longer time to implement the Si etching only through the fluidic ports, essentially making the process impractical.

Figure 3: Sacrificial Si etching by XeF2.
figure 3

(a,b) Optical images of fabricated sacrificial nanofluidic device prior to Si extraction, showing: (a) multiple fluidic branches on one chip; (b) Si microchannels connected by DUV-/EBL-fabricated Si structures in the middle. (c) 30° tilted SEM image of venting nanoholes in SiO2, with 300 nm diameters and different pitches (1 μm and 2 μm). (df) Optical images showing different stages of XeF2 etching: (d) before etching; (e) after partial Si extraction; (f) after complete Si extraction. The scale bars are 1 mm, 100 μm, 1 μm in figures (ac), respectively, and 10 μm in df.

Due to the nature of XeF2 gas-phase etching, Si extraction can be carried out highly efficiently without chemically or physically damaging SiO2 venting holes. The small venting hole dimensions (300 nm in diameter and ∼2 μm deep, Fig. 3c,d) greatly facilitate the subsequent sealing process with PECVD SiO2 deposition34. The high aspect-ratio of the venting holes (∼7 in this work) helps minimize SiO2 deposition at the nanohole bottom during sealing, thus preventing possible clogging of nanofluidic channels. The nanoholes were printed at a pitch of 1 μm in nanofluidic regions, compared to 2 μm in microfluidic regions, to further decrease the diffusion path of volatile etchant and product during XeF2 etching and also ensure landing of sufficient numbers of venting holes onto the sacrificial Si nanostructures at smaller dimensions (Supplementary Fig. 7).

Besides, the location and geometry of the venting holes can be designed to accommodate different nanofluidic structures. For example, to minimize possible damage to the critical nanostructures during venting hole formation and sealing process, we intentionally avoided nanoholes in a region spanning up to 60 μm long. Such a hole-free region was designed to consist of 20 μm long narrow channels and ∼20 μm-long two-dimensional fluidic network on each side of the channels, and can be flexibly designed and easily adjusted in DUV lithography. The large optical reflection difference between Si and SiO2 allows reliable process monitoring during XeF2 etching processes (Fig. 3d–f) to ensure complete removal of sacrificial Si. Importantly, we also noticed that XeF2 can diffuse and remove sacrificial Si nanostructures in as small as 13 nm nanochannels that are not directly connected to venting holes (Supplementary Fig. 8 and Supplementary Note 4). However, the XeF2 Si etching is slower at smaller nanostructured Si dimensions, because the vapour-phase transport of the XeF2 precursor to Si surface and the volatile byproducts away from Si are strongly dependent on channel dimensions. Since our designed critical nanochannels (13–67 nm, Supplementary Fig. 8) are much narrower than the fluidic network connecting to them (>240 nm), XeF2 is expected to diffuse much slower in the narrow nanochannels. Accordingly the etching time required to completely extract Si is not determined by the distance of nanochannels from the access holes but rather by the widths and lengths of narrow nanochannels. In principle, any nanochannels can be eventually extracted given long enough etching time, however, in practice specific design and manufacturing requirements may limit how long the extraction process can be and how narrow the nanochannel can be designed. Additionally, understanding that narrow nanochannels will be the time-limiting region during Si etching, we designed denser (1 μm pitch) venting holes near the nanochannels compared to in the microfluidic channel regions (2 μm pitch). Such a design flexibility of venting holes in fact enables successful sacrificial Si extraction, making our integration strategy universal to various fluidic chip designs.

One key focus of this work is to demonstrate the compatibility of our integration strategy with complex functional two-dimensional fluidic networks (Supplementary Fig. 8). Accordingly, the mesh-like channels (∼400 μm long) are designed much longer than the straight channel portion (5–20 μm) to focus on the DNA interactions with the nanopillars. Notably, our integration strategy has no limitation to the lengths of such fluidic network and can be generalized to a variety of nanofluidic designs. Despite the fact that the etching rate drops with narrow channels, long sacrificial Si channels can be extracted by either increasing the XeF2 etching cycles or by adding nanometre-scale venting holes on top of the channel when they are desired in design. On the other hand, narrow, long and isolated nanochannels have constrained applications because their wetting can be even more challenging than the fabrication. In this work, unnecessarily narrow and long nanochannels were not needed to achieve our desired DNA stretching in our two-dimensional fluidic network.

Nanofluidic channel sealing

After removal of the α-Si using XeF2, PECVD SiO2 was deposited to seal the small holes local to the nanochannels by ‘pinch off’ without restricting the fluidic ports of the device. Here, 1 μm-thick SiO2 was deposited at the top sidewalls of the venting holes while leaving only minimal SiO2 (∼20 nm) at the bottom (Fig. 4a,b). Hence, the sealing process did not clog sacrificial nanofluidic structures in our design (40 or 100 nm deep). The selective deposition was attributed to the fact that silane based PECVD SiO2 growth process is rather isotropic in and around the holes, with a rate in the lateral direction only slightly slower than in the vertical, therefore the vent holes quickly close. The thickness of SiO2 deposited at the hole bottom can be further decreased by using smaller holes and larger height/diameter aspect ratio. The amorphous nature of the PECVD SiO2 is also beneficial for sealing as there are no crystalline grain boundaries. In addition, this sealing process is self-terminating, because any additional SiO2 deposition after pinch-off will not have any negative impact, so tight control of the SiO2 thickness is not required. The high Si extraction efficiency and robust sealing process make the sacrificial approach very desirable for nanofluidic applications where the structure dimensions are in the deep nanometre scale.

Figure 4: Sacrificial nanofluidic devices after sealing venting holes.
figure 4

(a,b) Cross-sectional SEM images showing venting holes after PECVD SiO2 sealing, showing: (a) completely sealed venting holes at the top surface; (b) only minimal (∼20 nm) SiO2 deposited at the nanohole bottom. The α-Si layer was intentionally left to visualize the deposited SiO2. (c) Optical image of completed nanofluidic chips on an 8-inch wafer. (dh) Cross-sectional TEM images showing different nanochannels dimensions: (d,e) 350 nm by 90 nm; (f,g) 52 nm by 33 nm; and (h) 18 nm by 32 nm. The scale bars in figures d and f are 500 and 200 nm, respectively.

The completed fluidic chips on a 200 mm Si wafer are shown in Fig. 4c with 12 fluidic chips on each 200 mm Si wafer. We observed that our integration and fabrication strategy allowed us to consistently and reliably fabricate functional nanochannels with critical dimensions down to 20 nm on multiple 200 mm wafers with 12 nanofluidic chips per wafers. As shown in cross-sectional TEM images (Fig. 4d–h), the sealing process resulted in vacant nanochannels of dimensions down to 18 nm. Smaller sacrificial nanochannels were very challenging to image under TEM, because <20 nm nanochannels were observed to close (for example, a 14 nm-wide nanochannel in Supplementary Fig. 9), attributed to possible electron beam induced carbon deposition35 and/or melting of SiO2 dielectric films.

To keep fluidic access ports open while reliably sealing all the venting nanoholes, we designed fluidic ports of ∼1 mm in diameter connecting to the microfluidic Si structures (Supplementary Fig. 10). As a result, the 1 μm-thick sealing film would neither close the 1 mm-diameter fluidic ports nor clog the 2 μm deep microchannel, allowing fluids to be introduced into the chips.

Structural reliability is an important issue to nanofluidic devices sealed with a thin-film layer, particularly during wetting process. For example, in a slit-like fluidic channel which has a large width/height ratio (as large as 100 (refs 36, 37)), it has been shown the capillary force can induce a large surface tension force to deform and even possibly collapse the sealing layer. Because the vertical mechanical deformation of the cap** layer Δh is proportional to its lateral dimension W, a wide and thin cap** layer is subject to higher possibility of collapse or breakage, resulting in device failure. This essentially limits the lateral dimension of such nanoslits to smaller than a critical dimension. To mitigate this risk, we utilized a two-dimensional interweaved micro- and nano-scale fluidic network rather than a single wide channel for fluidic transport, and designed the lateral dimensions at nanometre scale for thin (sub 100 nm thick) channels to minimize the width/height ratio. On top of the planar nanochannels, the sealed venting nano-holes also had a small diameter/height ratio (Fig. 4a, ∼1.5 μm high after sealing, ∼300 nm diameter), effectively preventing the sealing SiO2 layer from collapsing. Therefore, the design of nanometre scale channel and venting hole dimensions is very favourable to mechanical stability of our fluidic chip. Considering the fact that sub 10 nm channels sealed by a thin (1 μm) SiO2 layer could successfully sustain capillary force during DNA flowSupplementary Fig. 10), which function as the frame to support the nanofluidic channels and prevent mechanical breakage. To further improve the mechanical robustness, the thickness of the sealing layer could be increased (for example, from 1 μm in our experiment to 1.5–2 μm), and the area density of venting holes (currently ∼300 nm wide, 1 μm and 2 μm pitch in our experiment) next to the critical channel regions can be reduced. Given the flexibility of our integration strategy to accommodate different designs, we believe this sacrificial strategy can be extended to even smaller channels.

Figure 5: Single-molecule fluorescence imaging of DNA in sacrificial Si nanochannels.
figure 5

(a) Optical image of nanofluidic regions with nanopillars and nanochannels (40 nm deep, 200 nm wide and 500 nm pitch). (b) Selected fluorescence images showing λ-DNA flowing through nanopillars and nanochannels corresponding to the optical images in a. Magenta and yellow dash lines indicate the pillar interface designed for straddling and the nanochannels entry point, respectively. Here frame 1 is defined the first frame the DNA molecule enters the imaged area. The DNA flowed from the bottom to the top. (c) The location-dependent DNA extension due to its hydrodynamic interactions with nanostructures, with the optical graph of the nanofluidic structures added as a location reference. Here the x axis origin is set as the nanochannel entry. Each black square dot represents the DNA extension in one frame, and the data point of frame 5 is labelled. The time interval between adjacent frames was ∼18 ms. The horizontal green dash-dot line indicates the estimated dyed lambda DNA extension when it is fully stretched. The scale bar in a is 10 μm.

Consecutive fluorescence images showed that DNA molecules hydrodynamically interacted with diamond-shaped nanopillars through straddling and were consequently stretched (Fig. 5), similar to our previous report using a wafer-bonded chip7. In our nanostructure design (Supplementary Fig. 12 and Supplementary Note 5), the hydrodynamic flow was always 45° to the nanochannels between the diamond-shaped nanopillars, thus forcing DNA to follow a zig-zag path to intentionally promote the straddling interactions. Clearly, the DNA molecule collided onto the nanopillars interface (as indicated by the magenta dash line in Fig. 5a,b), where the designed diamond-shaped pillars transition abruptly from ∼3 μm wide to about ∼1.5 μm and the designed pillar gaps decrease from ∼700 nm to ∼350 nm. Such a pillar design results in increased DNA fluidic paths and less coiled DNA3, and as a result a higher probability of DNA straddling onto the pillars7. As the hydrodynamic flow moved DNA head and tail forward (Fig. 5b frames 1–4), the DNA molecule became stretched. Then as the head pulled the tail away from the pillar interface (Fig. 5b frames 5–7), a mechanical stress was applied onto the DNA, which kept the DNA in the stretched state. Our measurement results (Fig. 5c) showed that the stretched lambda DNA (48.5 kbp) reached a maximum extension of ∼22 μm (frame 6), reaching its full contour length with intercalated fluorescence dyes (∼30% longer than without dyes, that is, ∼21.5 μm).

The demonstrated DNA stretching through the straddling interactions, with another example given in Supplementary Fig. 13, provides a promising route to linearize not only double-stranded (ds) DNA but also potentially ss DNA, because this mechanical force based method does not require the design of the nanofluidic structures smaller than the persistence length of DNA (∼50 nm for ds DNA39 and ∼2 nm for ss DNA33), which are expensive and difficult to fabricate. Previously, we have demonstrated the straddling based DNA stretching by wafer bonding approach, and the successful integration of such functional and complex nanofluidic structures by our sacrificial integration strategy makes it possible to achieve wafer scale fabrication of single-molecule DNA sensors7. In addition, it is also evident that the sacrificial nanofluidic devices are completely compatible with single-molecule fluorescence imaging and very suitable for interrogating the complex biomolecule interactions with nanostructures, making our integration strategy an ideal candidate in a variety of applications where precise, complex and large-scale integrated nanostructures are necessary for visualizing, manipulating and detecting biomolecules10.

To further evaluate the fluidic channel structural integrity and fluidic continuity, we measured ionic conductance of fluidic channels filled with potassium chloride (KCl) electrolyte (pH 5.5) on an automated probe station (Supplementary Fig. 14 and Supplementary Note 6). The linear I–V curves at all molarities indicate good wetting and a tunable electrical conductance, which is important for electrical detection of DNA. In addition, the nanofluidic chips were capable of sustaining long-time (continuously tested >11 h) electrical ionic current measurements without leakage or degradation, demonstrating excellent mechanical and electric stability. Our analysis evidently demonstrated that our sacrificial Si etching strategy supports complex design of nanofluidic structures towards on-chip single-molecule studies and biomolecular manipulation.