Skip to main content

and
  1. No Access

    Article

    Design for Testability Features of Godson-3 Multicore Microprocessor

    This paper describes the design for testability (DFT) challenges and techniques of Godson-3 microprocessor, which is a scalable multicore processor based on the scalable mesh of crossbar (SMOC) on-chip network...

    Zi-Chu Qi, Hui Liu, **ang-Ku Li, Wei-Wu Hu in Journal of Computer Science and Technology (2011)

  2. No Access

    Article

    Parallel Error Detection for Leading Zero Anticipation

    The algorithm and its implementation of the leading zero anticipation (LZA) are very vital for the performance of a high-speed floating-point adder in today’s state of art microprocessor design. Unfortunately,...

    Ge Zhang, Wei-Wu Hu, Zi-Chu Qi in Journal of Computer Science and Technology (2006)