Layout Decomposition for Triple Patterning

  • Living reference work entry
  • First Online:
Encyclopedia of Algorithms
  • 281 Accesses

Years and Authors of Summarized Original Work

2011; Yu, Yuan, Zhang, Ding, Pan

Problem Definition

Layout decomposition is a key stage in triple patterning lithography manufacturing process, where the original designed layout is divided into three masks. There will be three exposure/etching steps, through which the circuit layout can be produced. When the distance between two input features is less than certain minimum distance min s , they need to be assigned to different masks (colors) to avoid coloring conflict. Sometimes coloring conflict can be resolved by splitting a pattern into two different masks. However, this introduces stitches, which lead to yield loss because of overlay error. Therefore, two of the main objectives in layout decomposition are conflict minimization and stitch minimization. An example of triple patterning layout decomposition is shown in Fig. 1, where all features are divided into three masks without any conflict and one stitch is introduced.

Fig. 1
figure 1

Layout...

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

Recommended Reading

  1. Fang SY, Chen WY, Chang YW (2012) A novel layout decomposition algorithm for triple patterning lithography. In: IEEE/ACM design automation conference (DAC), San Francisco, pp 1185–1190

    Google Scholar 

  2. Kuang J, Young EF (2013) An efficient layout decomposition approach for triple patterning lithography. In: IEEE/ACM design automation conference (DAC), Austin, pp 69:1–69:6

    Google Scholar 

  3. Tian H, Zhang H, Ma Q, **ao Z, Wong M (2012) A polynomial time triple patterning algorithm for cell based row-structure layout. In: IEEE/ACM international conference on computer-aided design (ICCAD), San Jose, pp 57–64

    Google Scholar 

  4. Yu B, Pan DZ (2014) Layout decomposition for quadruple patterning lithography and beyond. In: IEEE/ACM design automation conference (DAC), San Francisco

    Google Scholar 

  5. Yu B, Yuan K, Zhang B, Ding D, Pan DZ (2011) Layout decomposition for triple patterning lithography. In: IEEE/ACM international conference on computer-aided design (ICCAD), San Jose, pp 1–8

    Google Scholar 

  6. Yu B, Lin YH, Luk-Pat G, Ding D, Lucas K, Pan DZ (2013) A high-performance triple patterning layout decomposer with balanced density. In: IEEE/ACM International conference on computer-aided design (ICCAD), San Jose, pp 163–169

    Google Scholar 

  7. Yu B, Xu X, Gao JR, Pan DZ (2013) Methodology for standard cell compliance and detailed placement for triple patterning lithography. In: IEEE/ACM international conference on computer-aided design (ICCAD), San Jose, pp 349–356

    Google Scholar 

  8. Zhang Y, Luk WS, Zhou H, Yan C, Zeng X (2013) Layout decomposition with pairwise coloring for multiple patterning lithography. In: IEEE/ACM international conference on computer-aided design (ICCAD), San Jose, pp 170–177

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this entry

Cite this entry

Yu, B., Pan, D.Z. (2014). Layout Decomposition for Triple Patterning. In: Kao, MY. (eds) Encyclopedia of Algorithms. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-27848-8_744-1

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-27848-8_744-1

  • Received:

  • Accepted:

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Online ISBN: 978-3-642-27848-8

  • eBook Packages: Springer Reference Computer SciencesReference Module Computer Science and Engineering

Publish with us

Policies and ethics

Navigation