• 2388 Accesses

Abstract

Cu-Cu hybrid bonding is one of the flip chip assembly technologies.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
EUR 29.95
Price includes VAT (Germany)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
EUR 139.09
Price includes VAT (Germany)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
EUR 128.39
Price includes VAT (Germany)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info
Hardcover Book
EUR 181.89
Price includes VAT (Germany)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Lau, J. H. (2022). Recent advances and trends in advanced packaging. IEEE Transactions on CPMT, 12(2), 228–252.

    Google Scholar 

  2. Kim, B., Matthias, T., Wimplinger, M., Kettner, P., & Lindner, P. (2010). Comparison of enabling wafer bonding techniques for TSV integration. ASME Paper No. IMECE2010–400002.

    Google Scholar 

  3. Chen, K., Lee, S., Andry, P., Tsang, C., Topop, A., Lin, Y., Lu, J., Young, A., Ieong, M., & Haensch, W. (2006). Structure, design and process control for Cu bonded interconnects in 3D integrated circuits. In IEEE Proceedings of International Electron Devices Meeting, San Francisco, CA, December 11–13, 2006 (pp. 367–370).

    Google Scholar 

  4. Liu, F., Yu, R., Young, A., Doyle, J., Wang, X., Shi, L., Chen, K., Li, X., Dipaola, D., Brown, D., Ryan, C., Hagan, J., Wong, K., Lu, M., Gu, X., Klymko, N., Perfecto, E., Merryman, A., Kelly K., Purushothaman, S., Koester, S., Wisnieff, R., & Haensch, W. (2008). A 300-wafer-level three-dimensional integration scheme using tungsten through-silicon via and hybrid Cu-adhesive bonding. In IEEE Proceedings of IEDM, December 2008 (pp. 1–4).

    Google Scholar 

  5. Yu, R., Liu, F., Polastre, R., Chen, K., Liu, X., Shi, L., Perfecto, E., Klymko, N., Chace, M., Shaw, T., Dimilia, D., Kinser, E., Young, A., Purushothaman, S., Koester, S., & Haensch W. (2009). Reliability of a 300-mm-compatible 3DI technology base on hybrid Cu-adhesive wafer bonding. In Proceedings of Symposium on VLSI Technology Digest of Technical Papers, 2009 (pp. 170–171).

    Google Scholar 

  6. Shigetou, A. Itoh, T., Sawada, K., & Suga, T. (2008). Bumpless interconnect of 6-um pitch Cu electrodes at room temperature. In IEEE Proceedings of ECTC, Lake Buena Vista, FL, May 27–30, 2008 (pp. 1405–1409).

    Google Scholar 

  7. Kondou, R., Wang, C., & Suga, T. (2010). Room-temperature Si-Si and Si-SiN wafer bonding. In Proceedings of IEEE CPMT Symposium Japan, August 2010 (pp. 161–164).

    Google Scholar 

  8. Shigetou, A., Itoh, T., Matsuo, M., Hayasaka, N., Okumura, K., & Suga, T. (2006). Bumpless interconnect through ultrafine Cu electrodes by mans of surface-activated bonding (SAB) method. IEEE Transaction on Advanced Packaging, 29(2), 226.

    Google Scholar 

  9. Wang, C., & Suga, T. (2009). A novel Moire Fringe assisted method for Nanoprecision alignment in wafer bonding. In IEEE Proceedings of ECTC, San Diego, CA, May 25–29, 2009 (pp. 872–878).

    Google Scholar 

  10. Wang, C., & Suga, T. (2009). Moire method for Nanoprecision wafer-to-wafer alignment: Theory, simulation and application. In IEEE Proceedings of Int. Conference on Electronic Packaging Technology and High Density Packaging, August 2009 (pp. 219–224).

    Google Scholar 

  11. Higurashi, E., Chino, D., Suga, T., & Sawada, R. (2009). Au-Au surface-activated bonding and its application to optical microsensors with 3-D structure. IEEE Journal of Selected Topic in Quantum Electronics, 15(5), 1500–1505.

    Google Scholar 

  12. Tong, Q., Fountain, G., & Enquist, P. (2005). Method for low temperature bonding and bonded structure. US 6,902,987, filed Date: Feb. 16, 2000, issued Date: June 7, 2005.

    Google Scholar 

  13. Tong, Q., Fountain, G., & Enquist, P. (2008). Method for low temperature bonding and bonded structure. US 7,387,944, priority date: Feb. 16, 2000, filed date: Aug. 9, 2004, issued date: June 17, 2008.

    Google Scholar 

  14. Tong, Q., Fountain, G., & Enquist, P. (2011). Method for low temperature bonding and bonded structure. US 8,053,329, filed date: Feb. 16, 2000, issued date: Nov. 8, 2011.

    Google Scholar 

  15. Tong, Q., Enquist, P., & Rose, A. (2005). Method for room temperature metal direct bonding. US 6,962,835, Filed date: Feb. 7, 2003, Publication date: Aug. 12, 2004, Issued date: Nov. 8, 2005.

    Google Scholar 

  16. Kagawa, Y., Fujii, N., Aoyagi, K., Kobayashi, Y., Nishi, S., & Todaka, N. (2016). Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding. In Proceedings of IEEE/IEDM, December 2016, (pp. 8.4.1–4).

    Google Scholar 

  17. Kagawa, Y., Fujii, N., Aoyagi, K., Kobayashi, Y., Nishi, S., Todaka, N., Takeshita, S., Taura, J., Takahashi, H., & Nishimura, Y. (2018). An advanced Cu-Cu hybrid bonding for novel stack CMOS image sensor. In IEEE/EDTM Proceedings, March 2018 (pp. 1–3).

    Google Scholar 

  18. Kagawa, Y., Hashiguchi, H., Kamibayashi, T., Haneda, M., Fujii, N., Furuse, S., Hirano, T., & Iwamoto, H. (2020). Impacts of misalignment on 1μm pitch Cu-Cu hybrid bonding. In Proceeding of IEEE International Interconnect Technology Conference (IITC), October 5–9, 2020 (pp. 148–150).

    Google Scholar 

  19. Oike, Y. (2022). Evolution of image sensor architectures with stacked device technologies. IEEE Transactions on Electron Devices, 69(6), 2757–2765.

    Article  Google Scholar 

  20. Kagawa, Y., Kamibayashi, T., Yamano, Y., Nishio, K., Sakamoto, A., Yamada, T., Shimizu, K., Hirano, T., & Iwamoto, H. (2022). Development of face-to-face and face-to-back ultra-fine pitch Cu-Cu hybrid bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 306–311).

    Google Scholar 

  21. Furuse, S., Fujii, N., Kotoo, K., Ogawa, N., Saito, S., Yamada, T., Hirano, T., Hagimoto, Y., & Iwamoto, H. (2022). Behavior of bonding strength on wafter-to-wafer Cu-Cu hybrid bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 591–594).

    Google Scholar 

  22. Park, J., Lee, B., Lee, H., Lim, D., Kang, J., Cho, C., Na, M., & **, I. (2022). Wafer to wafer hybrid bonding for DRAM applications. In Proceedings of IEEE/ECTC, May 2022 (pp. 126–129).

    Google Scholar 

  23. Rim, D., Lee, B., Park, J., Cho, C., Kang, J., & **, I. (2022). The wafer bonding yield improvement through control of SiCN Film composition and Cu pad shape. In Proceedings of IEEE/ECTC, May 2022 (pp. 674–678).

    Google Scholar 

  24. Kim, M., Park, S., Jang, A., Lee, H., Baek, S., Lee, H., Baek, S., Lee, C., Kim, I., Park, J., Jee, Y., Kang, U., & Kim, D. (2022). Characterization of die-to-wafer hybrid bonding using heterogeneous dielectrics. In Proceedings of IEEE/ECTC, May 2022 (pp. 335–339).

    Google Scholar 

  25. Kim, J., Seo, S., Kim, H., Kim, Y., Jo, C., & Kim, D. (2022). A study on bonding pad structure and layout for fine pitch hybrid bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 712–715).

    Google Scholar 

  26. Kim, H., Kim, J., Kim, Y., Seo, S., Jo, C., & Kim, D. (2022). Process and design optimization for hybrid Cu bonding void. In Proceedings of IEEE/ECTC, May 2022 (pp. 194–197).

    Google Scholar 

  27. Lee, S., Jee, Y., Park, S., Lee, S., Hwang, B., Jo, G., Lee, C., Park, J., Jang, A., Jung, H., Kim, I., Kang, D., Baek, S., Kim, D., & Kang, U. (2022). A study on memory stack process by hybrid copper bonding (HCB) technology. In Proceedings of IEEE/ECTC, May 2022 (pp. 1085–1089).

    Google Scholar 

  28. Kim, W., Lee, Y., Choi, W., Lim, K., Moon, B., & Rhee, D. (2022). Plasma chamber environment control to enhance bonding strength for wafer-to-wafer bonding processing. In Proceedings of IEEE/ECTC, May 2022 (pp. 2008–2012).

    Google Scholar 

  29. Ip, N., Nejadsadeghi, N., Fonseca, C., Kohama, N., & Motoda, K. (2022). Multi-physics simulation of wafer-to-wafer bonding dynamics. In Proceedings of IEEE/ECTC, May 2022 (pp. 502–506).

    Google Scholar 

  30. Netzband, C., Arkalgud, S., Abel, P., & Faguet, J. (2022). Wet atomic layer etching of copper structures for highly scaled copper hybrid bonding and fully aligned vias. In Proceedings of IEEE/ECTC, May 2022 (pp. 707–711).

    Google Scholar 

  31. Murugesan, M., Mori, K., Sawa, M., Sone, E., Koyanagi, M., & Fukushima, T. (2022). Cu-SiO2 hybrid bonding yield enhancement through cu grain enlargement. In Proceedings of IEEE/ECTC, May 2022 (pp. 685–690).

    Google Scholar 

  32. Yoneda, S., Adachi, K., Kobayashi, K., Matsukawa, D., Sasaki, M., Itabashi, T., Shirasaka, T., & Shibata, T. (2021). A novel photosensitive polyimide adhesive material for hybrid bonding processing. In IEEE/ECTC Proceedings, June 2021 (pp. 680–686).

    Google Scholar 

  33. Shirasaka, T., Okuda, T., Shibata, T., Yoneda, S., Matsukawa, D., Mariappan, M., Koyanagi, M., & Fukushima, T. (2022). Comprehensive study on advanced chip on wafer hybrid bonding with copper/polyimide systems. In Proceedings of IEEE/ECTC, May 2022 (pp. 317–323).

    Google Scholar 

  34. Kim, S., Fodor, F., Heylen, N., Iacovo, S., Vos, J., Miller, A., Beyer, G., & Beyne, E. (2020). Novel Cu/SiCN surface topography control for 1µm pitch wafer-to-wafer bonding. In IEEE/ECTC Proceedings, May 2020 (pp. 216–222).

    Google Scholar 

  35. Kennes, K., Phommahaxay, A., Guerrero, A., Bauder, O., Suhard, S., Bex, P., Iacovo, S., Liu, X., Schmidt, T., Beyer, G., & Beyne, E. (2020). Introduction of a new carrier system for collective die-to-wafer hybrid bonding and laser-assisted die transfer. In IEEE/ECTC Proceedings, May 2020 (pp. 296–302).

    Google Scholar 

  36. Suhard, S., Kennes, K., Bex, P., Jourdain, A., Teugels, L., Walsby, E., Bolton, C., Patel, J., Ashraf, H., Barnett, R., Fodor, F., Phommahaxay, A., Tulipe, D., Beyer, G., & Beyne, E. (2021). Demonstration of a collective hybrid due-to-wafer integration using glass carrier. In IEEE/ECTC Proceedings, June 2021 (pp. 2064–2070).

    Google Scholar 

  37. Kennes, K., Phommahaxay, A., Guerrero, A., Bumueller, D., Suhard, S., Bex, P., Tussing, S., Liu, X., Beyer, G., & Beyne, E. (2021). Acoustic modulation during laser debonding of collective hybrid bonded dies. In IEEE/ECTC Proceedings, June 2021 (pp. 2126–2133).

    Google Scholar 

  38. Iacovo, S., Nagano, F., Kumar, V., Walsby, E., Crook, K., Buchanamn, K., Jourdain, A., Vanstreels, K., Phommahaxay, A., & Beyne, E. (2022). Direct bonding using low temperature SiCN dielectrics. In Proceedings of IEEE/ECTC, May 2022 (pp. 602–606).

    Google Scholar 

  39. Kennes, K., Phommahaxay, A., Guerrero, A., Suhard, S., Bex, P., Brems, S., Liu, X., Tussing, S., Beyer, G., & Beyne, E. (2022). Carrier systems for collective die-to-wafer bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 2058–2063).

    Google Scholar 

  40. Cherman, V., Van Huylenbroeck, S., Lofrano, M., Chang, X., Oprins, H., Gonzalez, M., Van der Plas, G., Beyer, G., Rebibis, K., & Beyne, E. (2020). Thermal, mechanical and reliability assessment of hybrid bonded wafers, bonded at 2.5μm pitch. In IEEE/ECTC Proceedings, May 2020 (pp. 548–553).

    Google Scholar 

  41. Jouve, A., Lagoutte, E., Crochemore, R., Mauguen, G., Flahaut, T., Dubarry, C., Balan, V., Fournel, F., Bourjot, E., Servant, F., Scannell, M., Rohracher, K., Bodner, T., Faes, A., & Hofrichter, J. (2020). A reliable copper-free wafer level hybrid bonding technology for high-performance medical imaging sensors. In IEEE/ECTC Proceedings, May 20200 (pp. 201–209).

    Google Scholar 

  42. Bourjot, E., Castan, C., Nadi, N., Bond, A., Bresson, N., Sanchez, L., Fournel, F., Raynaud, N., Metzger, P., & Cheramy, S. (2021). Towards 5μm interconnection pitch with die-towafer direct hybrid bonding. In IEEE/ECTC Proceedings, June 2021 (pp. 470–475).

    Google Scholar 

  43. Bond, A., Bourjot, E., Borel, S., Enot, T., Montmeat, P., Sanchez, L., Fournel, F., & Swan, J. (2022). Collective die-to-wafer self-assembly for high alignment accuracy and high throughput 3D integration. In Proceedings of IEEE/ECTC, May 2022 (pp. 168–176).

    Google Scholar 

  44. Jourdon, J.,, Lhostis, S., Moreau, S., Chossat, J., Arnoux, M., Sart, C., Henrion, Y., Lamontagne, P., Arnaud, L., Bresson, N., Balan, V., Euvrard, C., Exbrayat, Y., Scevola, D., Deloffre, E., Mermoz, S., Martin, A., Bilgen, H., Andre, F., Charles, C., Bouchu, D., Farcy, A., Guillaumet, S., Jouve, A., Fremont, H., & Cheramy, S. (2018) Hybrid bonding for 3D stacked image sensors: impact of pitch shrinkage on interconnect robustness. In Proceedings of IEEE/IEDM, December 2018 (pp. 7.3.1–7.3.4).

    Google Scholar 

  45. Ji, L., Che, F., Ji, H., Li, H., & Kawano, M. (2019). Modelling and characterization on wafer to wafer hybrid bonding technology for 3D IC packaging. In IEEE/EPTC Proceedings, December 2019 (pp. 87–94).

    Google Scholar 

  46. Ji, L., Che, F., Ji, H., Li, H., & Kawano, M. (2020). Bonding integrity enhancement in wafer to wafer fine pitch hybrid bonding by advanced numerical modeling. In IEEE/ECTC Proceedings, May 2020 (pp. 568–575).

    Google Scholar 

  47. Ji, L., & Tippabhotla, S. (2022). Numerical evaluation on SiO2 based chip to wafer hybrid bonding performance by finite element analysis. In Proceedings of IEEE/ECTC, May 2022 (pp. 524–530).

    Google Scholar 

  48. Tippabhotla, S., Ji, L., & Han, Y. (2022). Numerical simulation of Cu/polymer-dielectric hybrid bonding process using finite element analysis. In Proceedings of IEEE/ECTC, May 2022 (pp. 1695–1703).

    Google Scholar 

  49. Choong, S., Daniel, I., Siang, S., Yi, J., Song, A., & Loh, W. (2022). Yield improvement in chip to wafer hybrid bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 1982–1986).

    Google Scholar 

  50. Elsherbini, A., Liff, S., Swan, J., Jun, K., Tiagaraj, S., & Pasdast, G. (2021). Hybrid bonding interconnect for advanced heterogeneously integrated processors. In IEEE/ECTC Proceedings, June 2021 (pp. 1014–1019).

    Google Scholar 

  51. Gao, G., Mirkarimi, L., Fountain, G., Suwito, D., Theil, J., Workman, T., Uzoh, C., Lee, B., Bang, K., & Guevara, G. (2022). Die to wafer hybrid bonding for Chiplet and heterogeneous integration: die size effects evaluation-small die application. In Proceedings of IEEE/ECTC, May 2022 (pp. 1975–1981).

    Google Scholar 

  52. Theil, J., Workman, T., Suwito, D., Mirkarimi, L., Fountain, G., Bang, K., Gao, G., Lee, B., Mrozek, P., Uzoh, C., Huynh, M., & Zhao, O. (2022). Analysis of die edge bond pads in hybrid bonded multi-die stacks. In Proceedings of IEEE/ECTC, May 2022 (pp. 130–136).

    Google Scholar 

  53. Gao, G., Mirkarimi, L., Workman, T., Guevara, G., Theil, J., Uzoh, C., Fountain, G., Lee, B., Mrozek, P., Huynh, M., & Katkar, R. (2018). Development of low temperature direct bond interconnect technology for die-to-wafer and die-to-die applications—stacking, yield improvement, reliability assessment. In IWLPC Proceedings, October 2018 (pp. 1–7).

    Google Scholar 

  54. Gao, G., Mirkarimi, L., Workman, T., Fountain, G., Theil, J., Guevara, G., Liu, P., Lee, B., Mrozek, P., Huynh, M., Rudolph, C., Werner, T., & Hanisch, A. (2019). Low temperature Cu interconnect with chip to wafer hybrid bonding. In IEEE/ECTC Proceedings, May 2019 (pp. 628–635).

    Google Scholar 

  55. Gao, G., Workman, T., Mirkarimi, L., Fountain, G., Theil, J., Guevara, G., Uzoh, C., Lee, B., Liu, P., & Mrozek, P. (2019). Chip to wafer hybrid bonding with Cu interconnect: High volume manufacturing process compatibility study. In IWLPC Proceedings, October 2019 (pp. 1–9).

    Google Scholar 

  56. Lee, B., Mrozek, P., Fountain, G., Posthill, J., Theil, J., Gao, G., Katkar, R., & Mirkarimi, L. (2019). Nanoscale topography characterization for direct bond interconnect. In IEEE/ECTC Proceedings, May 2019 (pp. 1041–1046).

    Google Scholar 

  57. Gao, G., Mirkarimi, L., Fountain, G., Workman, T., Theil, J., Guevara, G., Uzoh, C., Suwito, D., Lee, B., Bang, K., & Katkar, R. (2020). Die to wafer stacking with low temperature hybrid bonding. In IEEE/ECTC Proceedings, May 2020 (pp. 589–594).

    Google Scholar 

  58. Chidambaram, V., Lianto, P., Wang, X., See, G., Wiswell, N., & Kawano, M. (2021). Dielectric materials characterization for hybrid bonding. In IEEE/ECTC Proceedings, June 2021 (pp. 426–431).

    Google Scholar 

  59. Jiang, L., Sitaraman, S., Dag, S., Masoomi, M., Wang, Y., Lianto, P., An, J., Wang, R., See, G., Sundarrajan, A., Bazizi, E., & Ayyagari-Sangamalli, B. (2022). A holistic development platform for hybrid bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 691–700).

    Google Scholar 

  60. Utsumi, J., Ide, K., & Ichiyanagi, Y. (2019). Cu/SiO2 hybrid bonding obtained by surface-activated bonding method at room temperature using Si ultrathin films. Micro and Nano Engineering, 1–6.

    Google Scholar 

  61. Chen, M. F., Lin, C. S., Liao, E. B., Chiou, W. C., Kuo, C. C., Hu, C. C., Tsai, C. H., Wang, C. T., & Yu, D. (2020). SoIC for low-temperature, multi-layer 3D memory integration. In IEEE/ECTC Proceedings, May 2020 (pp. 855–860).

    Google Scholar 

  62. Chen, F., Chen, M., Chiou, W., & Yu, D. (2019). System on integrated chips (SoICTM) for 3D heterogeneous integration. In IEEE/ECTC Proceedings, May 2019 (pp. 594–599).

    Google Scholar 

  63. Workman, T., Mirkarimi, L., Theil, J., Fountain, G., Bang, K., Lee, B., Uzoh, C., Suwito, D., Gao, G., & Mrozek, P. (2021). Die to wafer hybrid bonding and fine pitch considerations. In IEEE/ECTC Proceedings, June 2021 (pp. 2071–2077).

    Google Scholar 

  64. Huylenbroeck, S., De Vos, J., El-Mekki, Z., Jamieson, G., Tutunjyan, N., Muga, K., Stucchi, M., Miller, A., Beyer, G., & Beyne, E. (2019). A highly reliable 1.4μm pitch via-last TSV module for wafer-to-wafer hybrid bonded 3D-SOC systems. In IEEE/ECTC Proceedings, May 2019 (pp. 1035–1040).

    Google Scholar 

  65. Suhard, S., Phommahaxay, A., Kennes, K., Bex, P., Fodor, F., Liebens, M., Slabbekoorn, J., Miller, A., Beyer, G., & Beyne, E. (2020). Demonstration of a collective hybrid die-to-wafer integration. In IEEE/ECTC Proceedings, May 2020 (pp. 1315–1321).

    Google Scholar 

  66. Fisher, D., Knickerbocker, S., Smith, D., Katz, R., Garant, J., Lubguban, J., Soler, V., & Robson, N. (2019). Face to face hybrid wafer bonding for fine pitch applications. In IEEE/ECTC Proceedings, May 2019 (pp. 595–600).

    Google Scholar 

  67. Jani, I., Lattard, D., Vivet, P., Arnaud, L., Cheramy, S., Beigné, E., Farcy, A., Jourdon, J., Henrion, Y., Deloffre, E., & Bilgen, H. (1932). Characterization of fine pitch hybrid bonding pads using electrical misalignment test vehicle. In IEEE/ECTC Proceedings, May 2019 (pp. 1926–1932).

    Google Scholar 

  68. Rudolph, C., Hanisch, A., Voigtlander, M., Gansauer, P., Wachsmuth, H., Kuttler, S., Wittler, O., Werner, T., Panchenko, I., & Wolf, M. (2021). Enabling D2W/D2D hybrid bonding on manufacturing equipment based on simulated process parameters. In IEEE/ECTC Proceedings, June 2021 (pp. 40–44).

    Google Scholar 

  69. Chong, S., Ling, X., Li, H., & Lim, S. (2020). Development of multi-die stacking with Cu-Cu interconnects using gang bonding approach. In IEEE/ECTC Proceedings, May 2020 (pp. 188–193).

    Google Scholar 

  70. Chong, S., & Lim, S. (2019). Comprehensive study of copper nano-paste for Cu-Cu bonding. In IEEE/ECTC Proceedings, May 2019 (pp. 191–196).

    Google Scholar 

  71. Araki, N., Maetani, S., Kim, Y., Kodama, S., & Ohba, T. (2019). Development of resins for bumpless interconnects and wafer-on-wafer (WOW) integration. In IEEE/ECTC Proceedings, May 2019 (pp. 1002–1008).

    Google Scholar 

  72. Fu**o, M., Takahashi, K., Araga, Y., & Kikuchi, K. (2020). 300 mm wafer-level hybrid bonding for Cu/interlayer dielectric bonding in vacuum. Japanese Journal Applications Physics, 59, 1–8.

    Google Scholar 

  73. Kim, S., Kang, P., Kim, T., Lee, K., Jang, J., Moon, K., Na, H., Hyun, S., & Hwang, K. (2019). Cu microstructure of high density Cu hybrid bonding interconnection. In IEEE/ECTC Proceedings, May 2019 (pp. 636–641).

    Google Scholar 

  74. Lim, S., Chong, C., & Chidambaram, V. (2021). Comprehensive study on Chip to wafer hybrid bonding process for fine pitch high density heterogeneous applications. In IEEE/ECTC Proceedings, June 2021 (pp. 438–444).

    Google Scholar 

  75. Chen, H., Shi, X., Wang, J., Hu, Y., Wang, Q., & Cai, J. (2021). Development of hybrid bonding process for embedded bump with Cu-Sn/BCB structure. In IEEE/ECTC Proceedings, June 2021 (pp. 476–480).

    Google Scholar 

  76. Kim, J., Lim, K., Hahn, S., Lee, M., & Rhee, D. (2021). Novel characterization method of chip level hybrid bonding strength. In IEEE/ECTC Proceedings, June 2021 (pp. 1754–1760).

    Google Scholar 

  77. Shie, K., He, P., Kuo, Y., Ong, J., Tu, K., Lin, B., Chang, C., & Chen, C. (2021). Hybrid bonding of Nanotwinned copper/organic dielectrics with low thermal budget. In IEEE/ECTC Proceedings, June 2021 (pp. 432–437).

    Google Scholar 

  78. Daido, I., Watanabe, R., Takahashi, T., & Hatai, M. (2021). Development of a temporary bonding tape having over 300 degC thermal resistance for Cu-Cu direct bonding. In IEEE/ECTC Proceedings, June 2021 (pp. 693–699).

    Google Scholar 

  79. Iacovo, S., Peng, L., Nagano, F., Uhrmann, T., Burggraf, J., Fehkuhrer, A., Conard, T., Inoue, F., Kim, S., Vos, J., Phommahaxay, A., & Beyne, E. (2021). Characterization of bonding activation sequences to enable ultra-low Cu/SiCN wafer level hybrid bonding. In IEEE/ECTC Proceedings, June 2021 (pp. 2097–2104).

    Google Scholar 

  80. Hung, T., Hu, H., Kang, T., Chiu, H., Mao, S., Shih, C., Chou, T., & Chen, K. (2021). Investigation of wet pretreatment to improve Cu-Cu bonding for hybrid bonding applications. In IEEE/ECTC Proceedings, June 2021 (pp. 700–705).

    Google Scholar 

  81. Kim, T., Cho, S., Hwang, S., Lee, K., Hong, Y., Lee, H., Cho, H., Moon, K., Na, H., & Hwang, K. (2021). Multi-stack wafer bonding demonstration utilizing Cu to Cu hybrid bonding and TSV enabling diverse 3D integration. In IEEE/ECTC Proceedings, June 2021 (pp. 415–419).

    Google Scholar 

  82. Shie, K., Hsu, P., Li, Y., Tu, K., Lin, B., Chang, C., & Chen, C. (2021). Electromigration and temperature cycling tests of Cu-Cu joints fabricated by instant copper direct bonding. In IEEE/ECTC Proceedings, June 2021 (pp. 995–1000).

    Google Scholar 

  83. Nigussie, T., Pan, T., Lipa, S., Pitts, W., DeLaCruz, J., & Franzon, P. (2021). Design benefits of hybrid bonding for 3D integration. In IEEE/ECTC Proceedings, June 2021 (pp. 1876–1881).

    Google Scholar 

  84. Ong, J., Shie, K., Tu, K., & Chen, C. (2021). Two-step fabrication process for die-to-die and die-towafer Cu-Cu bond. In IEEE/ECTC Proceedings, June 2021 (pp. 203–210).

    Google Scholar 

  85. Hong, Z., Liu, D., Hu, H., Lin, M., Hsich, T., & Chen, K. (2021). Ultra-high strength Cu-Cu bonding under low thermal budget for Chiplet heterogeneous applications. In IEEE/ECTC Proceedings, June 2021 (pp. 347–352).

    Google Scholar 

  86. Takeuchi, K., Mu, F., Matsumoto, Y., & Suga, T. (2021). Surface activated bonding of glass wafers using oxide intermediate layer. In IEEE/ECTC Proceedings, June 2021 (pp. 2024–2029).

    Google Scholar 

  87. Hsian, C., Fu, H., Chiang, C., Lee, O., Yang, T., & Chang, H. (2021). Feasibility study of Nanotwinned copper and adhesive hybrid bonding for heterogeneous integration. In IEEE/ECTC Proceedings, June 2021 (pp. 445–450).

    Google Scholar 

  88. Chiu, W., Lee, O., Chiang, C., & Chang, H. (2021). Low temperature wafer-to-wafer hybrid bonding by Nanotwinned copper. In IEEE/ECTC Proceedings, June 2021 (pp. 365–370).

    Google Scholar 

  89. Lau, J. H. (2021). State-of-the-art and outlooks of Chiplets heterogeneous integration and hybrid bonding. IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, 18, 148–160.

    Google Scholar 

  90. Uhrmann, T. (2021). Die-to-wafer bonding steps into the spotlight on a heterogeneous integration stage. EV Group White Paper, 2021.

    Google Scholar 

  91. Jouve, A. et al. (2017). 1μm pitch direct hybrid bonding with <300nm wafer-to-wafer overlay accuracy. In IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, October 2017 (pp. 1–2).

    Google Scholar 

  92. Kang, Q., Wang, C., Li, G., Zhou, S., & Tian, Y. (2021). Low-temperature Cu/SiO2 hybrid bonding using a novel two-step cooperative surface activation. In International Conference on Electronic Packaging Technology (ICEPT), 2021 (pp. 1–5).

    Google Scholar 

  93. Ren, H., Yang, Y., Ouyang, G., & Iyer, S. (2021). Mechanism and process window study for die-to-wafer (D2W) hybrid bonding. ECS Journal of Solid State Science and Technology, 10, 064008.

    Article  Google Scholar 

  94. Elsherbini, A., Jun, K., Vreeland, R., Brezinski, W., Niazi, H., & Shi, Y. et al. (2021). Enabling hybrid bonding on intel process. In IEEE IEDM, Dec 2021 (pp. 34.3.1–34.3.4).

    Google Scholar 

  95. Dubarry, C., Arnaud, L., Calvo Munoz, M. L., Mauguen, G., Moreau, S., Crochemore, R., Bresson, N., & Aventurier, B. (2021). 3D interconnection using copper direct hybrid bonding for GaN on silicon wafer. In IEEE International 3D Systems Integration Conference, 2021 (pp. 1–4).

    Google Scholar 

  96. Yan, O., Yang, S., Yin, D., Huang, X., Wang, Z., & Yang, S. et al. (2021). Excellent reliability of Xtacking™ bonding interface. In IEEE International Reliability Physics Symposium, 2021 (pp. 1–6).

    Google Scholar 

  97. Van Nhat, A., Tran, T. H., & Kondo, K. (2020). High TEC copper to connect copper bond pads for low temperature wafer bonding. ECS Journal of Solid State Science and Technology, 9, 124003.

    Article  Google Scholar 

  98. Peng, Z., Yu, C., Cen, K., Pu, J., **a, P., & Wang, C. (2021). {SiO}_{SiO} bonding technology research on wafer-level 3D stacking. In International Conference on Electronic Packaging Technology, 2021 (pp. 1–4).

    Google Scholar 

  99. **e, L., Li, H., Chong, S. C., & Ren, Q. (2019). Die-to-wafer bonding: Comparison of designing processing and assembling of different approaches. In IEEE Electronics Packaging Technology Conference, 2019 (pp. 382–387).

    Google Scholar 

  100. Gao, G., Theil, J., Fountain, G., Workman, T., Guevara, G., Uzoh, C., Suwito, D., Lee, B., Bang, K. M., Katkar, R., & Mirkarimi, L. (2020). Die to wafer hybrid bonding: Multi-die stacking with TSV integration. In International Wafer Level Packaging Conference, 2020 (pp. 1–8).

    Google Scholar 

  101. Jangam, S., & Iyer, S. (2021). Silicon-interconnect fabric for fine-pitch (≤10 μm) heterogeneous integration. IEEE Transactions on Components Packaging and Manufacturing Technology, 11(5), 727–738.

    Article  Google Scholar 

  102. Beyne, E., Kim, S.-W., Peng, L., Heylen, N., De Messemaeker, J., & Okudur, O. O. et al. (2017). Scalable sub 2μm pitch Cu/SiCN to Cu/SiCN hybrid wafer-to-wafer bonding technology. In IEEE IEDM, 2017 (pp. 32.4.1–32.4.4).

    Google Scholar 

  103. Huylenbroeck, S., Li, Y., De Vos, J., Jamieson, G., Tutunjyan, N., & Miller, A. et al. (2018). A highly reliable 1×5μm via-last TSV module. In IEEE International Interconnect Technology Conference, May 2018 (pp. 94–96).

    Google Scholar 

  104. Shie, K., Tra, D., Gusak, A., Tu, K., Liu, H., & Chen, C. (2002). Modeling of Cu-Cu thermal compression bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 2202–2205).

    Google Scholar 

  105. Huang, C., Shih, P. S., Huang, J. H., Gräfner, S. J., Chen, Y. A., & Kao, C. R. (2002). Thermal compression Cu-Cu bonding using electroless Cu and the evolution of voids within bonding interface. In Proceedings of IEEE/ECTC, May 2022 (pp. 2163–2167).

    Google Scholar 

  106. Susumago, Y., Arayama, S., Hoshi, T., Kino, H., Tanaka, T., & Fukushima, T. (2022). Room-temperature Cu direct bonding technology enabling 3D integration with micro-LEDs. In Proceedings of IEEE/ECTC, May 2022 (pp. 1403–1408).

    Google Scholar 

  107. Sakuma, K., Yu, R., Belyansky, M., Bergendaho, M., Gomez, J., Skordas, S., Knickerbocker, J., McHerron, D., Li, M., Chueng, M., So, S., Kwok, S., Fan, C., & Lau, S. (2022). Surface energy characterization for die-level Cu hybrid bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 312–316).

    Google Scholar 

  108. Chiu, W., Lee, O., Chiang, C., & Chang, H. (2022). Low-temperature wafer-to-wafer hybrid bonding by nanocrystalline copper. In Proceedings of IEEE/ECTC, May 2022 (pp. 679–684).

    Google Scholar 

  109. Lin, Y., Hung, Y., Kao, C., Lai, C., Shih, P., Huang, J., Tarng, D., & Kao, C. (2022). Fine-pitch 30 μm Cu-Cu bonding by using low temperature microfluidic electroless interconnection. In Proceedings of IEEE/ECTC, May 2022 (pp. 177–181).

    Google Scholar 

  110. Chen, C., Zhang, B., Suganuma, K., & Sekiguchi, T. (2022). Novel Ag salt paste for large area Cu-Cu bonding in low temperature low pressure and air condition. In Proceedings of IEEE/ECTC, May 2022 (pp. 1126–1132).

    Google Scholar 

  111. Wang, S., Hsu, A., Kao, C., Tarng, D., Liang, C., Lin, K., Tarng, D., Liang, C., & Lin, K. (2022). Novel Ga assisted low-temperature bonding technology for fine-pitch interconnects. In Proceedings of IEEE/ECTC, May 2022 (pp. 330–334).

    Google Scholar 

  112. Yoneda, S., Adachi, K., Matsukawa, D., Tanabe, T., Kobayashi, L., Shirasaka, T., Fukuzumi, S., & Okuda, T. (2022). Development of polyimide base photosensitive permanent bonding adhesive for middle to low temperature hybrid bonding processes. In Proceedings of IEEE/ECTC, May 2022 (pp. 595–601).

    Google Scholar 

  113. Ren, H., Yang, Y., & Iyer, S. (2022). Recess effect study and process optimization of sub-10 μm pitch die-to-wafer hybrid bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 149–156).

    Google Scholar 

  114. Goto, M., Honda, Y., Nanba, M., Iguchi, Y., & Higurashi, E. (2022). 3-layer stacking technology with pixel-wise interconnections for image sensors using hybrid bonding of silicon-on-insulator wafers mediated by thin Si layers. In Proceedings of IEEE/ECTC, May 2022 (pp. 122–125).

    Google Scholar 

  115. Fang, J., Cai, J., Wang, Q., Shi, X., Zheng, K., & Zhou, Y. (2022). Low temperature fine-pitch Cu-Cu bonding using Au nanoparticles as intermediate. In Proceedings of IEEE/ECTC, May 2022 (pp. 701–706).

    Google Scholar 

  116. Zhang, Z., Suetake, A., Hsieh, M., Chen, C., Yoshida, H., & Suganuma, K. (2022). Ag-Ag direct bonding via a pressureless, low-temperature, and atmospheric stress migration bonding method for 3D integration packaging. In Proceedings of IEEE/ECTC, May 2022 (pp. 1409–1412).

    Google Scholar 

  117. Lin, Y., Chang, P., Lee, O., Chiu, W., Chang, T., Chang, H., Lee, C., Huang, B., Dong, M., Tsai, D., Lee, C., & Chen, K. (2022). A hybrid bonding interconnection with a novel low-temperature bonding polymer system. In Proceedings of IEEE/ECTC, May 2022 (pp. 2128–2134).

    Google Scholar 

  118. Konno, S., Yamauchi, S., Hattori, T., & Anai, K. (2022). Bonding properties of cu paste in low temperature pressureless processes. In Proceedings of IEEE/ECTC, May 2022 (pp. 1133–1137).

    Google Scholar 

  119. Mirkarimi, L., Uzoh, C., Suwito, D., Lee, B., Fountain, G., Workman, T., Theil, J., Gao, G., Buckalew, B., Oberst, J., & Ponnuswamy, T. (2022). The influence of Cu microstructure on thermal budget in hybrid bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 162–167).

    Google Scholar 

  120. Agarwal, R., Cheng, P., Shah, P., Wilkerson, B., Swaminathan, R., Wuu, J., & Mandalapu, C. (2022). 3D packaging for heterogeneous integration. In Proceedings of IEEE/ECTC, May 2022 (pp. 1103–1107).

    Google Scholar 

  121. Kim, J., Min, D., Lee, K., Lee, M., Lim, K., & Rhee, D. (2022). A performance testing method of Bernoullie picker for ultra-thin die handling application. In Proceedings of IEEE/ECTC, May 2022 (pp. 157–161).

    Google Scholar 

  122. Kumari, B., Sharma, R., & Sahoo, M. (2022). Stability analysis of nanoscale copper-carbon hybrid interconnects. In Proceedings of IEEE/ECTC, May 2022 (pp. 972–976).

    Google Scholar 

  123. Ong, J., Tran, D., Lin, Y., Hsu, P., & Chen, C. (2022). Fabrication and reliability analysis of quasi-single crystalline cu joints using highly <111>—oriented Nanotwinned Cu. In Proceedings of IEEE/ECTC, May 2022 (pp. 1206–1210).

    Google Scholar 

  124. Matsumoto, K., Watanabe, T., Miyazawa, R., Aoki, T., Hisada, T., Nakamura, Y., Kayaba, Y., Kamada, J., & Kohmura, K. (2022). Solder and organic adhesive hybrid bonding technology with non-strip type photosensitive resin and injection molded solder (IMS). In Proceedings of IEEE/ECTC, May 2022 (pp. 340–346).

    Google Scholar 

  125. Hu, L., Lim, Y., Zhao, P., Lim, M., & Tan, C. (2022). Two-step Ar/N2 plasma-activated Al surface for Al-Al direct bonding. In Proceedings of IEEE/ECTC, May 2022 (pp. 324–329).

    Google Scholar 

  126. Cheng, C., Wu, P., Chang, L., & Ouyang, F. (2022). Low temperature metal-to-metal direct bonding in atmosphere using highly (111) oriented nanotwinned silver interconnects. In Proceedings of IEEE/ECTC, May 2022 (pp. 2116–2121).

    Google Scholar 

  127. Burns, J., Aull, B., Keast, C., Chen, C., Chen, C., Keast, C., Knecht, J., Suntharalingam, V., Warner, K., Wyatt, P., & Yost, D. (2006). A wafer-scale 3-D circuit integration technology. IEEE Transactions on Electron Devices, 53(10), 2507–2516.

    Article  Google Scholar 

  128. Chen, C., Warner, K., Yost, D., Knecht, J., Suntharalingam, V., Chen, C., Burns, J., & Keast, C. (2007). Sealing three-dimensional SOI integrated-circuit technology. In IEEE Proceedings of Int. SOI Conference, 2007 (pp. 87–88).

    Google Scholar 

  129. Chen, C., Chen, C., Yost, D., Knecht, J., Wyatt, P., Burns, J., Warner, K., Gouker, P., Healey, P., Wheeler, B., & Keast, C. (2008). Three-dimensional integration of silicon-on-insulator RF amplifier. Electronics Letters, 44(12), 1–2.

    Article  Google Scholar 

  130. Chen, C., Chen, C., Yost, D., Knecht, J., Wyatt, P., Burns, J., Warner, K., Gouker, P., Healey, P., Wheeler, B., & Keast, C. (2009). Wafer-scale 3D integration of silicon-on-insulator RF amplifiers. In IEEE Proceedings of Silicon Monolithic IC in RF Systems, 2009 (pp. 1–4).

    Google Scholar 

  131. Chen, C., Chen, C., Wyatt, P., Gouker, P., Burns, J., Knecht, J., Yost, D., Healey, P., & Keast, C. (2008). Effects of through-BOX Vias on SOI MOSFETs. In IEEE Proceedings of VLSI Technology, Systems and Applications, 2008 (pp. 1–2).

    Google Scholar 

  132. Chen, C., Chen, C., Burns, J., Yost, D., Warner, K., Knecht, J., Shibles, D., & Keast, C. (2007). Thermal effects of three dimensional integrated circuit stacks. In IEEE Proceedings of Int. SOI Conference, 2007 (pp. 91–92).

    Google Scholar 

  133. Aull, B., Burns, J., Chen, C., Felton, B., Hanson, H., Keast, C., Knecht, J., Loomis, A., Renzi, M., Soares, A., Suntharalingam, V., Warner, K., Wolfson, D., Yost, D., & Young, D. (2006). Laser radar imager based on 3D integration of geiger-mode avalanche photodiodes with two SOI timing circuit layers. In IEEE Proceedings of Int. Solid-State Circuits Conference, 2006 (pp. 1179–1188).

    Google Scholar 

  134. Chatterjee, R., Fayolle, M., Leduc, P., Pozder, S., Jones, B., Acosta, E., Charlet, B., Enot, T., Heitzmann, M., Zussy, M., Roman, A., Louveau, O., Maitreqean, S., Louis, D., Kernevez, N., Sillon, N., Passemard, G., Pol, V., Mathew, V., Garcia, S., Sparks, T., & Huang, Z. (2007). Three dimensional chip stacking using a wafer-to-wafer integration. In IEEE Proceedings of IITC, 2007 (pp. 81–83).

    Google Scholar 

  135. Ledus, P., Crecy, F., Fayolle, M., Fayolle, M., Charlet, B., Enot, T., Zussy, M., Jones, B., Barbe, J., Kernevez, N., Sillon, N., Maitreqean, S., Louis, D., & Passemard, G. (2007). Challenges for 3D IC integration: Bonding quality and thermal management. In IEEE Proceedings of IITC, 2007 (pp. 210–212).

    Google Scholar 

  136. Poupon, G., Sillon, N., Henry, D., Gillot, C., Mathewson, A., Cioccio, L., Charlet, B., Leduc, P., Vinet, M., & Batude, P. (2009). System on wafer: A new silicon concept in sip. Proceedings of the IEEE, 97(1), 60–69.

    Article  Google Scholar 

  137. Sukegawa, S., Umebayashi, T., Nakajima, T., Kawanobe, H., Koseki, K., Hirota, I., & Haruta, T., et al. (2013). A 1/4-inch 8Mpixel back-illuminated stacked CMOS image sensor. In Proceedings of IEEE/ISSCC, San Francisco, CA, February 2013 (pp. 484–486).

    Google Scholar 

  138. Haruta, T., Nakajima, T., Hashizume, J., & Umebayashi, T. et al. (2017). A 1/2.3-inch 20 Mpixel 3-layer stacked CMOS image sensor with DRAM. In Proceedings of IEEE/ISSCC, February 2017 (pp. 76–77).

    Google Scholar 

  139. Lau, J. H. (2021). Semiconductor advanced packaging. Springer.

    Book  Google Scholar 

  140. Wimplinger, M., W2W and D2W Bonding Technologies Enabling Next Gen Integrated Photonics. EPIC Technology Meeting on Electronics & Photonics–Two Sides of One Coin, Munich, Germany, November 2022 (pp. 1–19).

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to John H. Lau .

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Lau, J.H. (2023). Cu-Cu Hybrid Bonding. In: Chiplet Design and Heterogeneous Integration Packaging. Springer, Singapore. https://doi.org/10.1007/978-981-19-9917-8_6

Download citation

  • DOI: https://doi.org/10.1007/978-981-19-9917-8_6

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-19-9916-1

  • Online ISBN: 978-981-19-9917-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics

Navigation