Abstract

The performance of analog circuits is critically dependent on layout parasitics, but layout has traditionally been a manual and time-consuming task. Recent advances in ML have enabled new capabilities to facilitate fast automated placement and routing. This chapter presents an overview of these techniques, including geometric constraint generation and constrained placement and routing. A variety of ML techniques are used in various steps of analog placement and routing, including graph neural networks, random forest methods, support vector machines, graph attention networks, generative adversarial networks, reinforcement learning, and variational autoencoders. This chapter shows how these general ML algorithms are specifically customized to the requirements of optimized analog layout.

This work was supported in part by the DARPA IDEA program (SPAWAR contracts N660011824048 and N669911824049) and NSF CCF-1704758.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
EUR 29.95
Price includes VAT (Germany)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
EUR 67.40
Price includes VAT (Germany)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
EUR 85.59
Price includes VAT (Germany)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info
Hardcover Book
EUR 117.69
Price includes VAT (Germany)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Afacan, E., Lourenço, N., Martins, R., Dündar, G.: Review: Machine learning techniques in analog/RF integrated circuit design, synthesis, layout, and test. Integration 77, 113–130 (2021)

    Article  Google Scholar 

  2. Ajayi, T., Cherivirala, Y.K., Kwon, K., Kamineni, S., Saligane, M., Fayazi, M., Gupta, S., Chen, C.H., Sylvester, D., Blaauw, D., Dreslinski, Jr., R., Calhoun, B., Wentzloff, D.D.: Fully autonomous mixed signal SoC design and layout generation platform. In: IEEE Hot Chips Symposium (2020)

    Google Scholar 

  3. ALIGN: Analog layout, intelligently generated from netlists (Software repository, accessed November 1, 2021). https://github.com/ALIGN-analoglayout/ALIGN-public

  4. Bai, Y., Ding, H., Bian, S., Chen, T., Sun, Y., Wang, W.: SimGNN: A neural network approach to fast graph similarity computation. In: ACM International Conference on Web Search and Data Mining, pp. 384–392 (2019)

    Google Scholar 

  5. Balasa, F., Maruvada, S.C., Krishnamoorthy, K.: Efficient solution space exploration based on segment trees in analog placement with symmetry constraints. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2002)

    Google Scholar 

  6. Breiman, L.: Random forests. Mach. Learn. 45(1), 5–32 (2001)

    Article  MATH  Google Scholar 

  7. Chakravarti, I.M., Roy, J., Laha, R.G.: Handbook of methods of applied statistics. Wiley, New York (1967)

    MATH  Google Scholar 

  8. Chang, E., Han, J., Bae, W., Wang, Z., Narevsky, N., Nikolic, B., Alon, E.: BAG2: A process-portable framework for generator-based AMS circuit design. In: IEEE Custom Integrated Circuits Conference (CICC) (2018)

    Google Scholar 

  9. Chen, H., Liu, M., Xu, B., Zhu, K., Tang, X., Li, S., Lin, Y., Sun, N., Pan, D.Z.: MAGICAL: An open-source fully automated analog IC layout system from netlist to GDSII. IEEE Des. Test 38(2), 19–26 (2020)

    Article  Google Scholar 

  10. Chen, H., Zhu, K., Liu, M., Tang, X., Sun, N., Pan, D.Z.: Toward silicon-proven detailed routing for analog and mixed signal circuit. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2020)

    Google Scholar 

  11. Chen, H., Liu, M., Tang, X., Zhu, K., Mukherjee, A., Sun, N., Pan, D.Z.: MAGICAL 1.0: An open-source fully-automated AMS layout synthesis framework verified with a 40-nm 1 GS/s Δ Σ ADC. In: IEEE Custom Integrated Circuits Conference (CICC) (2021)

    Google Scholar 

  12. Chen, H., Zhu, K., Liu, M., Tang, X., Sun, N., Pan, D.Z.: Universal symmetry constraint extraction for analog and mixed-signal circuits with graph neural networks. In: ACM/IEEE Design Automation Conference (DAC) (2021)

    Google Scholar 

  13. Cohn, J., Garrod, D.J., Rutenbar, R.A., Carley, L.R.: KOAN/ANAGRAM II: New tools for device-level analog placement and routing. IEEE J. Solid State Circuits 26(3), 330–342 (1991)

    Article  Google Scholar 

  14. Crossley, J., Puggelli, A., Le, H.P., Yang, B., Nancollas, R., Jung, K., Kong, L., Narevsky, N., Lu, Y., Sutardja, N., An, E.J., Sangiovanni-Vincentelli, A.L., Alon, E.: BAG: A designer-oriented integrated framework for the development of ams circuit generators. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 74–81 (2013)

    Google Scholar 

  15. De Ranter, C.R.C., Van der Plas, G., Steyaert, M.S.J., Gielen, G.G.E., Sansen, W.M.C.: CYCLONE: Automated design and layout of RFLC-oscillators. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 21(11), 1161–1170 (2002)

    Google Scholar 

  16. Defferrard, M., Bresson, X., Vandergheynst, P.: Convolutional neural networks on graphs with fast localized spectral filtering. In: Neural Information Processing Systems (NeurIPS), pp. 3844–3852 (2016)

    Google Scholar 

  17. Dey, R., Salem, F.M.: Gate-variants of gated recurrent unit (GRU) neural networks. In: IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), pp. 1597–1600 (2017)

    Google Scholar 

  18. Dhar, T., Kunal, K., Li, Y., Madhusudan, M., Poojary, J., Sharma, A.K., Xu, W., Burns, S.M., Harjani, R., Hu, J., Kirkpatrick, D.A., Mukherjee, P., Sapatnekar, S.S., Yaldiz, S.: ALIGN: A system for automating analog layout. IEEE Des. Test 38(2), 8–18 (2021)

    Article  Google Scholar 

  19. Dhar, T., Poojary, J., Li, Y., Kunal, K., Madhusudan, M., Sharma, A.K., Manasi, S.D., Hu, J., Harjani, R., Sapatnekar, S.S.: Fast and efficient constraint evaluation of analog layout using machine learning models. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 158–163 (2021)

    Google Scholar 

  20. Dhillon, I., Guan, Y., Kulis, B.: Weighted graph cuts without eigenvectors: A multilevel approach. IEEE Trans. Pattern Anal. Mach. Intell. (PAMI) 29(11), 1944–1957 (2007)

    Google Scholar 

  21. Eick, M., Strasser, M., Lu, K., Schlichtmann, U., Graeb, H.E.: Comprehensive generation of hierarchical placement rules for analog integrated circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 30(2), 180–193 (2011)

    Google Scholar 

  22. Gao, X., Deng, C., Liu, M., Zhang, Z., Pan, D.Z., Lin, Y.: Layout symmetry annotation for analog circuits with graph neural networks. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC) (2021)

    Google Scholar 

  23. Gong, L., Cheng, Q.: Exploiting edge features for graph neural networks. In: IEEE Conference on Computer Vision and Pattern Recognition (CVPR), pp. 9211–9219 (2019)

    Google Scholar 

  24. Goodfellow, I.J., Pouget-Abadie, J., Mirza, M., Xu, B., Warde-Farley, D., Ozair, S., Courville, A., Bengio, Y.: Generative adversarial nets. In: Neural Information Processing Systems (NeurIPS) (2014)

    Google Scholar 

  25. Graeb, H.E. (ed.): Analog Layout Synthesis: A Survey of Topological Approaches. Springer, New York (2010)

    MATH  Google Scholar 

  26. Guerra, D., Canelas, A., Póvoa, R., Horta, N., Lourenço, N., Martins, R.: Artificial neural networks as an alternative for automatic analog IC placement. In: IEEE International Conference on Synthesis, Modeling, Analysis and Simulation Methods, and Applications to Circuit Design (SMACD) (2019)

    Google Scholar 

  27. Gusmão, A., Passos, F., Póvoa, R., Horta, N., Lourenço, N., Martins, R.: Semi-supervised artificial neural networks towards analog ic placement recommender. In: IEEE International Symposium on Circuits and Systems (ISCAS) (2020)

    Google Scholar 

  28. Hamilton, W., Ying, Z., Leskovec, J.: Inductive Representation Learning on Large Graphs. In: Neural Information Processing Systems (NeurIPS), pp. 1024–1034 (2017)

    Google Scholar 

  29. Hamilton, W.L., Ying, R., Leskovec, J.: Representation learning on graphs: Methods and applications. In: IEEE Data Engineering Bulletin (2017)

    Google Scholar 

  30. Harjani, R., Rutenbar, R.A., Carley, L.R.: A prototype framework for knowledge-based analog circuit synthesis. In: ACM/IEEE Design Automation Conference (DAC), pp. 42–49 (1987)

    Google Scholar 

  31. Harjani, R., Rutenbar, R.A., Carley, L.R.: OASYS: A framework for analog circuit synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 8(12), 1247–1266 (1989)

    Google Scholar 

  32. Karmokar, N., Madhusudan, M., Sharma, A.K., Harjani, R., Lin, M.P.H., Sapatnekar, S.S.: Common-centroid analog circuit layout. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC) (2022)

    Google Scholar 

  33. Karypis, G., Kumar, V.: A fast and high quality multilevel scheme for partitioning irregular graphs. SIAM J. Sci. Comput. (SISC) 20(1), 359–392 (1998)

    Google Scholar 

  34. Kipf, T.: Semi-supervised classification with graph convolutional networks. In: International Conference on Learning Representations (ICLR) (2017)

    Google Scholar 

  35. Kipf, T.N., Welling, N.: Variational graph auto-encoders. arxiv:1611.07308 (2016)

    Google Scholar 

  36. Krizhevsky, A., Sutskever, I., Hinton, G.: ImageNet classification with deep convolutional neural networks. Neural Inf. Proces. Syst. (NeurIPS) 25(2), 1097–1105 (2012)

    Google Scholar 

  37. Kunal, K., Madhusudan, M., Sharma, A.K., Xu, W., Burns, S.M., Harjani, R., Hu, J., Kirkpatrick, D.A., Sapatnekar, S.S.: ALIGN: Open-source analog layout automation from the ground up. In: ACM/IEEE Design Automation Conference (DAC), pp. 77–80 (2019)

    Google Scholar 

  38. Kunal, K., Dhar, T., Madhusudan, M., Poojary, J., Sharma, A., Xu, W., Burns, S.M., Hu, J., Harjani, R., Sapatnekar, S.S.: GANA: Graph convolutional network based automated netlist annotation for analog circuits. In: IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE) (2020)

    Google Scholar 

  39. Kunal, K., Poojary, J., Dhar, T., Madhusudan, M., Harjani, R., Sapatnekar, S.S.: A general approach for identifying hierarchical symmetry constraints for analog circuit layout. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2020)

    Google Scholar 

  40. Li, Y., Lin, Y., Madhusudan, M., Sharma, A., Xu, W., Sapatnekar, S.S., Harjani, R., Hu, J.: A customized graph neural network model for guiding analog IC placement. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2020)

    Google Scholar 

  41. Li, Y., Lin, Y., Madhusudan, M., Sharma, A., Xu, W., Sapatnekar, S.S., Harjani, R., Hu, J.: Exploring a machine learning approach to performance driven analog IC placement. In: IEEE Annual Symposium on VLSI (ISVLSI), pp. 24–29 (2020)

    Google Scholar 

  42. Liou, G.H., Wang, S.H., Su, Y.Y., Lin, M.P.H.: Classifying analog and digital circuits with machine learning techniques toward mixed-signal design automation. In: IEEE International Conference on Synthesis, Modeling, Analysis and Simulation Methods, and Applications to Circuit Design (SMACD), vol. 15, pp. 173–176 (2018)

    Google Scholar 

  43. Liu, M., Li, W., Zhu, K., Xu, B., Lin, Y., Shen, L., Tang, X., Sun, N., Pan, D.Z.: S3DET: Detecting system symmetry constraints for analog circuits with graph similarity. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC) (2020)

    Google Scholar 

  44. Liu, J., Su, S., Madhusudan, M., Hassanpourghadi, M., Saunders, S., Zhang, Q., Rasul, R., Li, Y., Hu, J., Sharma, A.K., Sapatnekar, S.S., Harjani, R., Levi, A., Gupta, S., Chen, M.S.W.: From specification to silicon: Towards analog/mixed-signal design automation using surrogate NN models with transfer learning. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2021)

    Google Scholar 

  45. Liu, M., Tang, X., Zhu, K., Chen, H., Sun, N., Pan, D.Z.: OpenSAR: An open source automated end-to-end SAR ADC compiler. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2021)

    Google Scholar 

  46. Liu, M., Zhu, K., Gu, J., Shen, L., Tang, X., Sun, N., Pan, D.Z.: Towards decrypting the art of analog layout: Placement quality prediction via transfer learning. In: IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE) (2020)

    Google Scholar 

  47. Liu, M., Zhu, K., Tang, X., Xu, B., Shi, W., Sun, N., Pan, D.Z.: Closing the design loop: Bayesian optimization assisted hierarchical analog layout synthesis. In: ACM/IEEE Design Automation Conference (DAC) (2020)

    Google Scholar 

  48. Ma, Q., **ao, L., Tam, Y.C., Young, E.F.Y.: Simultaneous handling of symmetry, common centroid, and general placement constraints. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 30(1), 85–95 (2011)

    Google Scholar 

  49. Martins, R.M.F., Lourenço, N.C.C., Horta, N.C.G.: Generating Analog IC Layouts with LAYGEN II. Springer, New York (2010)

    Google Scholar 

  50. Massier, T., Graeb, H., Schlichtmann, U.: The sizing rules method for CMOS and bipolar analog integrated circuit synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 27(12), 2209–2222 (2008)

    Google Scholar 

  51. McCulloh, I., Armstrong, H., Johnson, A.N.: Social network analysis with applications. Wiley, New York (2013)

    Google Scholar 

  52. Meissner, M., Hedric, L.: FEATS: Framework for explorative analog topology synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 34(2), 213–226 (2015)

    Google Scholar 

  53. Meng, Q., Harjani, R.: A 4GHz instantaneous bandwidth low squint phased array using sub-harmonic ILO based channelization. In: IEEE European Solid-State Circuits Conference (ESSCIRC), pp. 110–113 (2018)

    Google Scholar 

  54. Mirza, M., Osindero, S.: Conditional generative adversarial nets. arxiv:1411.1784 (2014)

    Google Scholar 

  55. Monti, F., Otness, K., Bronstein, M.M.: MotifNet: A motif-based graph convolutional network for directed graphs. In: IEEE Data Science Workshop (DSW), pp. 225–228 (2018)

    Google Scholar 

  56. Mustafa Ozdal, M., Wong, M.D.F.: A length-matching routing algorithm for high-performance printed circuit boards. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 25(12), 2784–2794 (2006)

    Google Scholar 

  57. Ochotta, E., Rutenbar, R.A., Carley, L.R.: ASTRX/OBLX: Tools for rapid synthesis of high-performance analog circuits. In: ACM/IEEE Design Automation Conference (DAC), pp. 24–30 (1994)

    Google Scholar 

  58. Ohlrich, M., Ebeling, C., Ginting, E., Sather, L.: SubGemini: Identifying subcircuits using a fast subgraph algorithm. In: ACM/IEEE Design Automation Conference (DAC), pp. 31–37 (1993)

    Google Scholar 

  59. Ou, H.C., Chien, H.C.C., Chang, Y.W.: Simultaneous analog placement and routing with current flow and current density considerations. In: ACM/IEEE Design Automation Conference (DAC) (2013)

    Google Scholar 

  60. Ou, H., Chien, H.C., Chang, Y.: Nonuniform multilevel analog routing with matching constraints. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 33(12), 1942–1954 (2014)

    Google Scholar 

  61. Ou, H.C., Tseng, K.H., Liu, J.Y., Wu, I.P., Chang, Y.W.: Layout-dependent effects-aware analytical analog placement. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 35(8), 1243–1254 (2016)

    Google Scholar 

  62. Page, L., Brin, S., Motwani, R., Winograd, T.: The PageRank citation ranking: Bringing order to the web. Tech. rep., Stanford InfoLab, Stanford, CA (1999)

    Google Scholar 

  63. Pan, P., Chen, H., Cheng, Y., Liu, J., Hu, W.: Configurable analog routing methodology via technology and design constraint unification. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 620–626 (2012)

    Google Scholar 

  64. Pang, Y., Balasa, F., Lampaert, K., Cheng, C.K.: Block placement with symmetry constraints based on the o-tree non-slicing representation. In: ACM/IEEE Design Automation Conference (DAC) (2000)

    Google Scholar 

  65. Razavi, B.: Design of Analog CMOS Integrated Circuits, 1st edn. McGraw-Hill, Inc., New York (2001)

    Google Scholar 

  66. Sapatnekar, S.S.: Timing. Springer, Boston (2004)

    MATH  Google Scholar 

  67. Vapnik, V.: Statistical learning theory. Wiley, New York (1998)

    MATH  Google Scholar 

  68. Veličković, P., Cucurull, G., Casanova, A., Romero, A., Lio, P., Bengio, Y.: Graph Attention Networks. ar**v:1710.10903 (2017)

    Google Scholar 

  69. Wong, K.-L.J., Yang, C.-K.K.: A serial-link transceiver with transition equalization. In: IEEE International Solid-State Circuits Conference (ISSCC), pp. 223–232 (2006)

    Google Scholar 

  70. Wu, C.Y., Graeb, H., Hu, J.: A pre-search assisted ILP approach to analog integrated circuit routing. In: IEEE International Conference on Computer Design (ICCD), pp. 244–250 (2015)

    Google Scholar 

  71. Wu, P.H., Lin, M.P.H., Chen, T.C., Yeh, C.F., Li, X., Ho, T.Y.: A novel analog physical synthesis methodology integrating existent design expertise. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 34(2), 199–212 (2015)

    Google Scholar 

  72. **ao, L., Young, E.F.Y., He, X., Pun, K.P.: Practical placement and routing techniques for analog circuit designs. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 675–679 (2010)

    Google Scholar 

  73. Xu, B., Basaran, B., Su, M., Pan, D.Z.: Analog placement constraint extraction and exploration with the application to layout retargeting. In: ACM International Symposium on Physical Design (ISPD) (2018)

    Google Scholar 

  74. Xu, B., Li, S., Pui, C.W., Liu, D., Shen, L., Lin, Y., Sun, N., Pan, D.Z.: Device layer-aware analytical placement for analog circuits. In: ACM International Symposium on Physical Design (ISPD) (2019)

    Google Scholar 

  75. Xu, B., Li, S., Xu, X., Sun, N., Pan, D.Z.: Hierarchical and analytical placement techniques for high-performance analog circuits. In: ACM International Symposium on Physical Design (ISPD) (2017)

    Google Scholar 

  76. Xu, B., Lin, Y., Tang, X., Li, S., Shen, L., Sun, N., Pan, D.Z.: WellGAN: Generative-adversarial-network-guided well generation for analog/mixed-signal circuit layout. In: ACM/IEEE Design Automation Conference (DAC) (2019)

    Google Scholar 

  77. Xu, B., Zhu, K., Liu, M., Lin, Y., Li, S., Tang, X., Sun, N., Pan, D.Z.: MAGICAL: Toward fully automated analog IC layout leveraging human and machine intelligence. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2019)

    Google Scholar 

  78. Xu, K., Hu, W., Leskovec, J., Jegelka, S.: How powerful are graph neural networks? In: International Conference on Learning Representations (ICLR) (2019)

    Google Scholar 

  79. Yan, T., Wong, M.D.F.: BSG-Route: A length-matching router for general topology. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 499–505 (2008)

    Google Scholar 

  80. Ying, R., He, R., Chen, K., Eksombatchai, P., Hamilton, W.L., Leskovec, J.: Graph convolutional neural networks for web-scale recommender systems. In: ACM International Conference on Knowledge Discovery and Data Mining (KDD), pp. 974–983 (2018)

    Google Scholar 

  81. Ying, Z., You, J., Morris, C., Ren, X., Hamilton, W., Leskovec, J.: Hierarchical graph representation learning with differentiable pooling. In: Neural Information Processing Systems (NeurIPS), pp. 4800–4810 (2018)

    Google Scholar 

  82. Zeng, Z., Tung, A.K.H., Wang, J., Feng, J., Zhou, L.: Comparing stars: On approximating graph edit distance. VLDB Endowment 2(1), 25–36 (2009)

    Article  Google Scholar 

  83. Zhu, K., Chen, H., Liu, M., Pan, D.Z.: Automating analog constraint extraction: from heuristics to learning. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC) (2022)

    Google Scholar 

  84. Zhu, K., Chen, H., Liu, M., Tang, X., Shi, W., Sun, N., Pan, D.Z.: Generative-adversarial-network-guided well-aware placement for analog circuits. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC) (2022)

    Google Scholar 

  85. Zhu, K., Chen, H., Liu, M., Tang, X., Sun, N., Pan, D.Z.: Effective analog/mixed-signal circuit placement considering system signal flow. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2020)

    Google Scholar 

  86. Zhu, K., Liu, M., Lin, Y., Xu, B., Li, S., Tang, X., Sun, N., Pan, D.Z.: GeniusRoute: A new analog routing paradigm using generative neural network guidance. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2019)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to David Z. Pan or Sachin S. Sapatnekar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Burns, S.M. et al. (2022). Machine Learning for Analog Layout. In: Ren, H., Hu, J. (eds) Machine Learning Applications in Electronic Design Automation. Springer, Cham. https://doi.org/10.1007/978-3-031-13074-8_17

Download citation

Publish with us

Policies and ethics

Navigation