Reconfigurable Neuromorphic Computing System

  • Chapter
  • First Online:
Neuromorphic Computing Principles and Organization

Abstract

The human brain can be characterized by its massive parallel reconfigurable synapses connecting billions of neurons. Synapses play a vital role in achieving the learning and adaptability of the human brain. The weight of a synapse shows connection strength between the two neurons linked by that synapse. Spiking neural networks are used in applications ranging from vision systems to brain-computer interfaces. However, the design of such systems has mainly focused on fixed functionality using available off-the-shelf components. Such an approach is lacking the flexibility to adapt to various computing environments. The reconfigurable design approach supports multiple target applications via dynamic reconfigurability, network topology independence, and network expandability. This chapter presents the architecture and hardware design of a reconfigurable neuromorphic processor. The architecture implements a spiking neural network that can be reconfigured to recover from faults with suitable methods that use an FPGA without being dependent on FPGA intellectual property. This approach makes possible its implementation in Application-Specific Integrated Circuits (ASICs).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
GBP 19.95
Price includes VAT (United Kingdom)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
GBP 43.99
Price includes VAT (United Kingdom)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
GBP 54.99
Price includes VAT (United Kingdom)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info
Hardcover Book
GBP 74.99
Price includes VAT (United Kingdom)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Balaji A, Das A, Wu Y, Huynh K, Dell’Anna F, Indiveri G, Krichmar JL, Dutt N, Schaafsma S, Catthoor F (2019) Map** spiking neural networks to neuromorphic hardware

    Google Scholar 

  2. Bassett DS, Bullmore E (2006) Small-world brain networks. Neuroscientist 12(6):512–523

    Article  Google Scholar 

  3. Bassett DS, Bullmore ET (2016) Small-world brain networks revisited. Neuroscientist 23(5):499–516

    Article  Google Scholar 

  4. Bear M (2016) Neuroscience: exploring the brain. Wolters Kluwer, Philadelphia

    Google Scholar 

  5. Belhadj B, Valentian A, Vivet P, Duranton M, He L, Temam O (2014) The improbable but highly appropriate marriage of 3d stacking and neuromorphic accelerators. In: 2014 International conference on compilers, architecture and synthesis for embedded systems (CASES), Oct 2014, pp 1–9

    Google Scholar 

  6. Ben Abdallah A (2017) 3D integration technology for multicore systems on-chip. In: Advanced multicore systems-on-chip architecture, on-chip network, design. Springer, Singapore, pp 175–199

    Chapter  Google Scholar 

  7. Ben Ahmed A, Ben Abdallah A (2016) Adaptive fault-tolerant architecture and routing algorithm for reliable many-core 3d-NoC systems. J Parallel Distrib Comput 93–94:30–43

    Article  Google Scholar 

  8. Benjamin BV, Gao P, McQuinn E, Choudhary S, Chandrasekaran AR, Bussat J-M, Alvarez-Icaza R, Arthur JV, Merolla PA, Boahen K (2014) Neurogrid: a mixed-analog-digital multichip system for large-scale neural simulations. Proc IEEE 102(5):699–716

    Article  Google Scholar 

  9. Boahen KA (1998) Communicating neuronal ensembles between neuromorphic chips. In: Neuromorphic systems engineering. Springer, Berlin, pp 229–259

    Chapter  Google Scholar 

  10. Carrillo S (2013) Scalable hierarchical networks-on-chip architecture for brain-inspired computing. PhD thesis, University of Ulster

    Google Scholar 

  11. Carrillo S, Harkin J, McDaid LJ, Morgan F, Pande S, Cawley S, McGinley B (2013) Scalable hierarchical network-on-chip architecture for spiking neural network hardware implementations. IEEE Trans Parallel Distrib Syst 24(12):2451–2461

    Article  Google Scholar 

  12. Chao C-H, Jheng K-Y, Wang H-Y, Wu J-C, Wu A-Y (2010) Traffic-and thermal-aware run-time thermal management scheme for 3d NoC systems. In: 2010 Fourth ACM/IEEE international symposium on networks-on-chip. IEEE, Piscataway, pp 223–230

    Chapter  Google Scholar 

  13. Chin C-T, Mehrotra K, Mohan CK, Rankat S (1994) Training techniques to obtain fault-tolerant neural networks. In: Proceedings of IEEE 24th international symposium on fault- tolerant computing, June 1994, pp 360–369

    Google Scholar 

  14. Chu L, Wah BW (1990) Fault tolerant neural networks with hybrid redundancy. In: 1990 IJCNN international joint conference on neural networks, vol. 2, June 1990, pp 639–649

    Google Scholar 

  15. Dang KN, Ahmed AB, Okuyama Y, Abderazek BA (2017) Scalable design methodology and online algorithm for TSV-cluster defects recovery in highly reliable 3d-NoC systems. IEEE Trans Emerg Topics Comput 8(3):577–590

    Article  Google Scholar 

  16. Dayan P, Abbott LF (2001) Theoretical neuroscience: computational and mathematical modeling of neural systems. The MIT Press, Cambridge

    MATH  Google Scholar 

  17. Deng J, Rang Y, Du Z, Wang Y, Li H, Temam O, Ienne P, Novo D, Li X, Chen Y, Wu C (2015) Retraining-based timing error mitigation for hardware neural networks. In: 2015 Design, automation test in Europe conference exhibition (DATE), March 2015, pp 593–596

    Google Scholar 

  18. Ebrahimi M (2014) Reliable and adaptive routing algorithms for 2d and 3d networks-on-chip. In: Routing algorithms in networks-on-chip. Springer, Berlin, pp 211–237

    Chapter  Google Scholar 

  19. Ehsan MA, Zhou Z, Yi Y (2017) Modeling and analysis of neuronal membrane electrical activities in 3d neuromorphic computing system. In: 2017 IEEE international symposium on electromagnetic compatibility signal/power integrity (EMCSI), Aug 2017, pp 745–750

    Google Scholar 

  20. Emmerson MD, Damper RI (1993) Determining and improving the fault tolerance of multilayer perceptrons in a pattern-recognition application. IEEE Trans Neural Netw 4(5):788–793

    Article  Google Scholar 

  21. Furber S, Temple S (2006) Neural systems engineering. J R Soc Interface 4(13):193–206

    Article  Google Scholar 

  22. Furber SB, Galluppi F, Temple S, Plana LA (2014) The spinnaker project. Proc IEEE 102(5):652–665

    Article  Google Scholar 

  23. Guthaus MR, Stine JE, Ataei S, Chen B, Wu B, Sarwar M (2016) Openram: an open-source memory compiler. In: 2016 IEEE/ACM international conference on computer-aided design (ICCAD), pp 1–6

    Google Scholar 

  24. Hashmi A, Berry H, Temam O, Lipasti M (2011) Automatic abstraction and fault tolerance in cortical microarchitectures. In: 2011 38th Annual international symposium on computer architecture (ISCA), June 2011, pp 1–10

    Google Scholar 

  25. Hodgkin AL, Huxley AF (1990) A quantitative description of membrane current and its application to conduction and excitation in nerve. Bull Math Biol 52(1):25–71

    Article  Google Scholar 

  26. Hojabr R, Modarressi M, Daneshtalab M, Yasoubi A, Khonsari A (2017) Customizing clos network-on-chip for neural networks. IEEE Trans Comput 66(11):1865–1877

    Article  MathSciNet  MATH  Google Scholar 

  27. Indiveri G, Linares-Barranco B, Hamilton TJ, van Schaik A, Etienne-Cummings R, Delbruck T, Liu S-C, Dudek P, Häfliger P, Renaud S, Schemmel J, Cauwenberghs G, Arthur J, Hynna K, Folowosele F, Saighi S, Serrano-Gotarredona T, Wijekoon J, Wang Y, Boahen K (2011) Neuromorphic silicon neuron circuits. Front Neurosci 5:73

    Google Scholar 

  28. Khunasaraphan C, Vanapipat K, Lursinsap C (1994) Weight shifting techniques for self-recovery neural networks. IEEE Trans Neural Netw 5(4):651–658

    Article  Google Scholar 

  29. Kulshrestha S (2016) Neuromorphic chips defence applications. SSRN Electronic J. https://doi.org/10.2139/ssrn.2773015

  30. Lazzaro J, Wawrzynek J, Mahowald M, Sivilotti M, Gillespie D (1993) Silicon auditory processors as computer peripherals. IEEE Trans Neural Netw 4(3):523–528

    Article  Google Scholar 

  31. Leergaard T, Hilgetag C, Sporns O (2012) Map** the connectome: multi-level analysis of brain connectivity. Front Neuroinform 6:14

    Article  Google Scholar 

  32. Lin X, Ni LM (1993) Multicast communication in multicomputer networks. IEEE Trans Parallel Distrib Syst 4(10):1105–1117

    Article  Google Scholar 

  33. Liu S-C, Kramer J, Indiveri G, Delbrück T, Burg T, Douglas R (2001) Orientation-selective aVLSI spiking neurons. Neural Netw 14(6–7):629–643

    Article  Google Scholar 

  34. Liu J, Harkin J, Maguire LP, McDaid LJ, Wade JJ (2018) Spanner: a self-repairing spiking neural network hardware architecture. IEEE Trans Neural Netw Learn Syst 29(4):1287–1300

    Article  Google Scholar 

  35. Maass W (1997) Networks of spiking neurons: the third generation of neural network models. Neural Netw 10(9):1659–1671

    Article  Google Scholar 

  36. Markram H, Gerstner W, Sjöström P (2012) Spike-timing-dependent plasticity: a comprehensive overview. Front Synaptic Neurosci 4:2

    Article  Google Scholar 

  37. Moradi S, Manohar R (2018) The impact of on-chip communication on memory technologies for neuromorphic systems. J Phys D Appl Phys 52(1):014003

    Article  Google Scholar 

  38. Mortara A, Vittoz EA, Venier P (1995) A communication scheme for analog VLSI perceptive systems. IEEE J Solid-State Circuits 30(6):660–669

    Article  Google Scholar 

  39. Naeem M, McDaid LJ, Harkin J, Wade JJ, Marsland J (2015) On the role of astroglial syncytia in self-repairing spiking neural networks. IEEE Trans Neural Netw Learn Syst 26(10):2370–2380

    Article  MathSciNet  Google Scholar 

  40. NanGate Inc. (2014) Nangate open cell library 45 nm. http://www.nangate.com/. Accessed 16 June 2016

  41. NCSU Electronic Design Automation (2015) FreePDK3D45 3D-IC process design kit. http://www.eda.ncsu.edu/wiki/FreePDK3D45:Contents. Accessed 16 June 2016

  42. Ogbodo M, Vu T, Dang K, Abdallah A (2020) Light-weight spiking neuron processing core for large-scale 3d-NoC based spiking neural network processing systems. In: 2020 IEEE international conference on big data and smart computing (BigComp), pp 133–139

    Google Scholar 

  43. Ohno N, Katoh M, Saitoh Y, Saitoh S (2016) Recent advancement in the challenges to connectomics. Microscopy 65(2):97–107

    Article  Google Scholar 

  44. Piche S (1992) Robustness of feedforward neural networks. In: [Proceedings 1992] IJCNN international joint conference on neural networks, June 1992, vol 2, pp 346–351

    Google Scholar 

  45. Poggio T, Girosi F (1990) Networks for approximation and learning. Proc IEEE 78(9):1481–1497

    Article  MATH  Google Scholar 

  46. Rodrigues de Oliveira Neto J, Cerquinho Cajueiro JP, Ranhel J (2015) Neural encoding and spike generation for spiking neural networks implemented in FPGA. In: 2015 International conference on electronics, communications and computers (CONIELECOMP), pp 55–61

    Google Scholar 

  47. Samman F, Hollstein T, Glesner M (2010) New theory for deadlock-free multicast routing in wormhole-switched virtual-channelless networks-on-chip. IEEE Trans Parallel Distrib Syst 22(4):544–557

    Article  Google Scholar 

  48. Schemmel J, Fieres J, Meier K (2008) Wafer-scale integration of analog neural networks. In: 2008 IEEE international joint conference on neural networks (IEEE world congress on computational intelligence). IEEE, Piscataway, pp 431–438

    Chapter  Google Scholar 

  49. Sen-Bhattacharya B, James S, Rhodes O, Sugiarto I, Rowley A, Stokes AB, Gurney K, Furber SB (2018) Building a spiking neural network model of the basal ganglia on spinnaker. IEEE Trans Cogn Dev Syst 10(3):823–836

    Article  Google Scholar 

  50. Sequin CH, Clay RD (1990) Fault tolerance in artificial neural networks. In: 1990 IJCNN international joint conference on neural networks, June 1990, vol 1, pp 703–708

    Google Scholar 

  51. Shibata S, Komaki Y, Seki F, Inouye MO, Nagai T, Okano H (2015) Connectomics: comprehensive approaches for whole-brain map**. Microscopy 64(1):57–67

    Article  Google Scholar 

  52. Taherkhani A, Belatreche A, Li Y, Maguire LP (2018) A supervised learning algorithm for learning precise timing of multiple spikes in multilayer spiking neural networks. IEEE Trans Neural Netw Learn Syst 29(11):5394–5407

    Article  MathSciNet  Google Scholar 

  53. Torres-Huitzil C, Girau B (2017) Fault and error tolerance in neural networks: a review. IEEE Access 5:17322–17341

    Article  Google Scholar 

  54. Valencia D, Thies J, Alimohammad A (2019) Frameworks for efficient brain-computer interfacing. IEEE Trans Biomed Circuits Syst 13(6):1714–1722

    Article  Google Scholar 

  55. Vu TH, Ikechukwu OM, Abdallah AB (2019) Fault-tolerant spike routing algorithm and architecture for three dimensional NoC-based neuromorphic systems. IEEE Access 7:90436–90452

    Article  Google Scholar 

  56. Vu TH, Murakami Y, Abdallah AB (2019) Graceful fault-tolerant on-chip spike routing algorithm for mesh-based spiking neural networks. In: 2019 2nd International conference on intelligent autonomous systems (ICoIAS), Singapore, Feb 2019

    Google Scholar 

  57. Vu TH, Murakami Y, Abdallah AB (2019) A low-latency tree-based multicast spike routing for scalable multicore neuromorphic chips. In: ACM 5th International conference of computing for engineering and sciences, Hammamet, Tunisia, July 2019

    Google Scholar 

  58. Vu TH, Okuyama Y, Abdallah AB (2019) Comprehensive analytic performance assessment and k-means based multicast routing algorithm and architecture for 3d-NoC of spiking neurons. ACM J Emerg Technol Comput Syst 15(4):1–28

    Article  Google Scholar 

  59. Wei N, Yang S, Tong S (1996) A modified learning algorithm for improving the fault tolerance of BP networks. In: Proceedings of international conference on neural networks (ICNN’96), June 1996, vol 1, pp 247–252

    Google Scholar 

  60. Wijesinghe P, Ankit A, Sengupta A, Roy K (2018) An all-memristor deep spiking neural computing system: a step towards realizing the low power, stochastic brain. IEEE Trans Emerg Topics Comput Intell 2(5), 345–358

    Article  Google Scholar 

  61. Wu J, Furber S (2009) A multicast routing scheme for a universal spiking neural network architecture. Comput J 53(3):280–288

    Article  Google Scholar 

  62. **a Q, Yang JJ (2019) Memristive crossbar arrays for brain-inspired computing. Nat Mater 18(4):309–323

    Article  Google Scholar 

  63. **ang D, Shen K (2016) A new unicast-based multicast scheme for network-on-chip router and interconnect testing. ACM Trans Des Autom Electron Syst 21(2):1–23

    Article  Google Scholar 

  64. Yang S, Wang J, Deng B, Liu C, Li H, Fietkiewicz C, Loparo KA (2018) Real-time neuromorphic system for large-scale conductance-based spiking neural networks. IEEE Trans Cybern 49(7), 2490–2503

    Article  Google Scholar 

  65. Young AR, Dean ME, Plank JS, Rose GS (2019) A review of spiking neuromorphic hardware communication systems. IEEE Access 7:135606–135620

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Abderazek Ben Abdallah or Khanh N. Dang .

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Ben Abdallah, A., N. Dang, K. (2022). Reconfigurable Neuromorphic Computing System. In: Neuromorphic Computing Principles and Organization. Springer, Cham. https://doi.org/10.1007/978-3-030-92525-3_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-92525-3_7

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-92524-6

  • Online ISBN: 978-3-030-92525-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics

Navigation