A SOC Controller for Digital Still Camera

  • Chapter
Essential Issues in SOC Design

Abstract

We present our experience of designing a single-chip multimedia SOC for advanced digital still camera from specification all the way to mass production. The process involves collaboration with camera system designer, IP vendors, EDA vendors, silicon wafer foundry, package & testing houses, and camera maker. We also co-work with academic research groups to develop a JPEG codec IP and memory BIST and SOC testing methodology. In this presentation, we cover the problems encountered, our solutions, and lessons learned. This case study shows the feasibility of expanding semiconductor wafer foundry service to electronics manufacturing service (EMS) providers who in general have very limited IC design capability/experience. We also point out possible directions for future research

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
EUR 29.95
Price includes VAT (France)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
EUR 85.59
Price includes VAT (France)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
EUR 105.49
Price includes VAT (France)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info
Hardcover Book
EUR 105.49
Price includes VAT (France)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

Similar content being viewed by others

References

  1. C. L. Chen, J. Y. Lin, and Y. L. Lin, “Integration, Verification and Layout of a Complex Multimedia SOC,” DATE-2005, Munich, Germany, March 2005.

    Google Scholar 

  2. C. Christopoulos, A. Skodras, and T. Ebrahimi, “The JPEG2000 Still Image Coding System – An Overview,” IEEE Transactions on Consumer Electronics, 2000

    Google Scholar 

  3. C. J. Lian, Y. W. Huang, H. C. Fang, Y. C. Chang and L. G. Chen, “JPEG, MPEG-4, and H.264 Codec IP Development” DATE-2005, Munich, Germany, March 2005.

    Google Scholar 

  4. C. W. Wu, “SOC Testing Methodology and Practice,” DATE-2005, Munich, Germany, March 2005.

    Google Scholar 

  5. G. K. Wallace and M. Maynard, “The JPEG Still Picture Compression Standard,” Communications of the ACM, 1991.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer

About this chapter

Cite this chapter

Lin, JY., Chen, CL., Lin, YL. (2006). A SOC Controller for Digital Still Camera. In: Lin, YL.S. (eds) Essential Issues in SOC Design. Springer, Dordrecht. https://doi.org/10.1007/1-4020-5352-5_2

Download citation

  • DOI: https://doi.org/10.1007/1-4020-5352-5_2

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-1-4020-5351-1

  • Online ISBN: 978-1-4020-5352-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics

Navigation