Log in

Performance Evaluation and Comparative Analysis between Traditional CNTFET Based 9 T SRAM Cells

  • Original Paper
  • Published:
Silicon Aims and scope Submit manuscript

Abstract

CNTFET is more prominent for its performance when compared with conventional CMOS even beyond the 10 nm technology node because of its excellent thermal conductivities, superior current capabilities, and ballistic transport operation. CNTFET based SRAM cell is already available with 6 T, 7 T, 8 T, 9 T, and 10 T, etc. on behalf of detailed literature review 9 T SRAM cell has been selected for performance evaluation. This paper is going to deal with the representation of traditional 9 T SRAM structures and their simulation with the conventional setup of all the design parameters. Performance has been evaluated with conventional setup, and it has been evaluated that what is the effect when the design parameters are varied. With the help of simulation, the impact of their variation can be observed for performance parameters. It was found after simulation that design parameters of 9 T CNTFET based SRAM cell affect its performance in terms of on-state current, power consumption, and delay. Each performance parameter is going to change with the variation of its design parameters. Consequently, is if found that delay is reduced approx. 33 to 70% with respective design parameters. Similarly, the required average power is increased by 25 to 47% along with the improvement of SNM from 12 to 23% with the variation of design parameters.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Price includes VAT (United Kingdom)

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Singh A, Khosla M, Raj B (2016) CNTFET Modeling and Low Power SRAM Cell Design. IEEE 5th Global Conf Consu Electron 2:5090–5094

    Google Scholar 

  2. Zhe Zhang and José G. Delgado-Frias (2011). Low Power and Metallic CNT Tolerant CNTFET SRAM Design. 11th IEEE International Conference on Nanotechnology Portland Marriott, vol. A247, pp. 1177–1182

  3. Prakasha P, Mohana Sundaram K, Anto Bennett M (2018) A review on carbon nanotube field-effect transistors (CNTFETs) for ultra-low power applications. Renew Sust Energ Rev 89:194–203

    Article  Google Scholar 

  4. Prasad R, Madhavi BK, Kishore KL (2011a) Design of low write-power consumption Sram cell based on Cntfet at 32nm technology. Int J VLSI design Commun Syst (VLSICS) 2:167–177

    Article  Google Scholar 

  5. Prasad R, Madhavi BK, Kishore KL (2012) Low leakage-power SRAM cell design using CNTFETs at 32nm technology. LNICST 108:165–171

    Google Scholar 

  6. Tamil Selvan S, Sundararajan M (2018a) Performance Evaluation Of 3 Value Logic 7t And 8t Cntfet Based Sram Cell Design. Int J Pure App Math 120:33–47

    Google Scholar 

  7. Murotiya S, Matta A, Gupta A (2012) Performance evaluation of Cntfet-based Sram cell design. Int J Electri Electro Engin (IJEEE) 2:78–83

    Google Scholar 

  8. Parimala Devi M, Sharmila D, Meenakshi K (2013) Comparative Study of 8T SRAM Cell using CMOS, FinFET, and CNTFET in Nanoscale Technologies. Int J Electron Commu Technol 4:7–10

    Google Scholar 

  9. Sinhal SK, Kumar K, Chaudhuri S (2013) CNTFET: The Emerging Post-CMOS Device. IEEE 8:372–374

    Google Scholar 

  10. Nandy T, Dutta A, Mahmood ZH (2015) Analytical distinction between Cntfet based and Mosfet based Srams and logic gates. Electr Electro Engin: An Int J (ELELIJ) 4:26–37

    Google Scholar 

  11. Rizvi A, Jaiswal P (2016) Study of CNTFET Performance over MOSFET using Digital Logic Circuits. IJVDCS 4:363–375

    Google Scholar 

  12. Sun L, Mathew J, Shafik RA, Pradhan DK, Li Z (2014) A Low Power and Robust Carbon Nanotube 6T SRAM Design with Metallic Tolerance. EDAA 4:177–182

    Google Scholar 

  13. Ahmed SS, Makdey S, Bhoir D (2015) Performance Enhancement of VLSI Circuits using CNTFETs. Int J Eng Trends Technol 23:1–6

    Article  Google Scholar 

  14. Jaiswal M, Singh AR (2015) Design and Analysis of CNTFET-Based SRAM. Int Res J Engin Technol (IRJET) 2:11–15

    Google Scholar 

  15. Divya MK, Singh G (2018) Performance Analysis of CNFET Based 6T SRAM. COJ Electron Commun 1:1–9

    Google Scholar 

  16. Tamil Selvan S, Sundararajan M (2018b) Performance Evaluation Of 3 Value Logic 7t And 8t Cntfet Based Sram Cell Design. Int J Pure App Math 120:33–47

    Google Scholar 

  17. Naagesh SB (2012) Design and modelling of different Sram's based on Cntfet 32nm technology. Int J VLSI design Commun Syst (VLSICS) 3:69–83

    Article  Google Scholar 

  18. Joshi S, Alabawi U (2017) Comparative analysis of 6T, 7T, 8T, 9T, and 10T Realistic CNTFET Based SRAM. Hindawi J Nanotechnol 2017:177–185

    Google Scholar 

  19. Pramod Kumar Patel, Manzar Malik, and Tarun Kumar Gupta (2019). Design of an ultralow power CNTFET based 9T SRAM with shared BL and half select free techniques. International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, 32(2):e2511

  20. Maheswar Y, Raju BL, Soundara Rajan K (2019) 256K Memory Bank Design with 9T SRAM Bit Cell and 22nm CNTFET Optimizing for Low Power and Area. Int J Innov Technol Explo Engin (IJITEE) 8:675–681

  21. Meenakshi Devi, Charu Madhu, Nidhi Garg, Sarvjit Singh, and Preeti Singh (2021). Design and Stability analysis of CNTFET based SRAM cell. IOP Conf. Series: Materials Science and Engineering, pp.1–10

  22. Kumar TS, Tripathi SL (2021) Comprehensive analysis of 7T SRAM cell architectures with 18nm FinFET for low power bio-medical applications. Silicon, 1–12

  23. Kumar TS, Tripathi SL (2021b) Process evaluation in FinFET based 7T SRAM cell. Analog Integr Circ Sig Process 109:545–551

    Article  Google Scholar 

  24. Elangovan M, Gunavathi K (2020) High Stable and Low Power 10T CNTFET SRAM Cell. J Circuits, Syst, Comput 29:2050158 –1 to 19

    Article  Google Scholar 

  25. Sarath Chandra K, Hari Kishore K, Giri P, Santhosh Reddy E (2019) Design of 8T CNTFET SRAM for ultra-low power microelectronic applications. Int J Recent Technol Engin (IJRTE) 8:10148–10152

    Article  Google Scholar 

  26. Tamil Selvan S, Sundararajan M (2019) Performance Parameters of 3 Value 8t Cntfet Based Sram Cell Design Using H-Spice. Int J Recent Technol Engin (IJRTE) 8:22–27

    Article  Google Scholar 

  27. Sardana N, Ragh PLK (2011) Carbon Nano Tube Field Effect Transistor: A Review. Int J Engin Sci Res Technol 5:861–868

    Google Scholar 

  28. Mohita TN, Roy T (2016) Design and Stability Analysis of CNTFET based SRAM Cell. IEEE Students' Conf Electri, Electron Comp Sci 2:77–81

    Google Scholar 

  29. Bishop MD, Hills G, Srimani T et al (2020) Fabrication of carbon nanotube field-effect transistors in commercial silicon manufacturing facilities. Nature Electron 3:492–501

    Article  CAS  Google Scholar 

  30. Narasimhamurthy KC, Paily R (2011) Fabrication of carbon nanotube field effect transistor. IETE Tech Rev 28(1):57–69. https://doi.org/10.4103/0256-4602.74508

    Article  Google Scholar 

  31. Sayed SI, Abutaleb MM, Nossair ZB (2016, 2016) Optimization of CNFET parameters for high-performance digital circuits. Hindawi Publishing Corporation. Adv Mater Sci Eng:1001–1009

  32. Das S, Das D, Rahaman H (2016) Design of Content Addressable Memory Cell using carbon nanotube field-effect transistors. Proc 2016 IEEE Students' Technol Sympo 2:131–135

    Article  Google Scholar 

  33. Bhavar SR, Khule RS (2017) Design and analysis on the Performance of CNTFET Based Inverter in nanometer Regime. IJARIIE 3:1779–1784

    Google Scholar 

  34. Spasova M, Nikolov D, Angelov G, Radonov R, Hristov M (2017) SRAM design based on carbon nanotube field effect transistor's model with modified parameters. In 2017 40th International Spring Seminar on Electronics Technology (ISSE). IEEE, pp 1–4

  35. Prasad R, Madhavi BK, Kishore KL (2011) Design of low write-power consumption SRAM cell based on CNTFET at 32nm Technology. Int J 613 Comp Sci Inform Technol 2(4):167

Download references

Acknowledgments

We acknowledge the support and lab facility provided by the Department of ECE, Manipal University Jaipur, Jaipur.

Author information

Authors and Affiliations

Authors

Contributions

NA Additional declarations.

Corresponding author

Correspondence to Shilpi Birla.

Ethics declarations

Conflicts of Interest/Competing Interests

There is no conflict of interest at any stage.

Data Availability

The associated data will be made available on request.

Code Availability

The simulation work has been carried out using HSPICE software.

Ethics Approval

NA It is a simulation-based design and analysis. So, it does not produce any environmental hazards.

Consent to Participate

Yes, we are ready to participate.

Consent for Publication

We are ready for publication with your journal.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Supplementary Information

ESM 1

(DOCX 18 kb)

ESM 2

(DOCX 13 kb)

ESM 3

(DOCX 25 kb)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Mathur, N., Birla, S. Performance Evaluation and Comparative Analysis between Traditional CNTFET Based 9 T SRAM Cells. Silicon 14, 11749–11761 (2022). https://doi.org/10.1007/s12633-022-01895-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s12633-022-01895-1

Keywords

Navigation