Log in

A 2.488–11.2 Gb/s SerDes in 40 nm low-leakage CMOS with multi-protocol compatibility for FPGA applications

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

This paper presents the design and Silicon verification of a 2.488–11.2 Gbps multi-standard SerDes transceiver in a 40 nm low-leakage CMOS process. The paper explores the architectural and circuit techniques used to meet the stringent requirements of the high-speed SerDes and to mitigate the performance impact of the low-leakage process. A system modeling approach is described, which is used for optimizing the architectural trade-offs. The transceiver makes use of a low-jitter LC phase locked loop to enable high-reliability system design. The design has 420 fs RJrms and consumes 30.1 mW/Gbps at 11.2 Gbps.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Price includes VAT (Spain)

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24

Similar content being viewed by others

References

  1. Vamvakos, S. D. et al. (2012). A 2.488–11.2 Gb/s multi-protocol SerDes in 40 nm low-leakage CMOS for FPGA applications, Proceedings of 2012 IEEE 55th International Midwest Symposium on Circuits and Systems, (vol. 55), pp. 5–8.

  2. Hidaka, Y., Weixin, G., Horie, T., Jiang, J. H., Koyanagi, Y., & Osone, H. (2009). A 4-channel 1.25–10.3 Gb/s backplane transceiver macro with 35 dB equalizer and sign-based zero-forcing adaptive control. IEEE Journal of Solid-State Circuits, 44(12), 3547–3559.

    Article  Google Scholar 

  3. Quan, S. et al. (2011). A 1.0625-to-14.025 Gb/s multimedia transceiver with full-rate source-series-terminated transmit driver and floating-tap decision-feedback equalizer in 40 nm, ISSCC Dig. Tech. papers, pp. 348–350.

  4. Rao, C., Wang, A. & Desai, S. (2009). A 0.46 ps RJrms 5 GHz wideband LC PLL for multi-protocol 10 Gb/s SerDes, in Proceedings of Custom Integrated Circuits Conference (CICC), pp. 239–242.

  5. Jerng, A., & Sodini, C. G. (2005). The impact of device type and sizing on phase noise mechanisms. IEEE Journal of Solid-State Circuits, 40, 360–369.

    Article  Google Scholar 

  6. Hauspie, D., Park, E., & Craninckx, J. (2007). Wideband VCO with simultaneous switching of frequency band, active Core, and varactor size. IEEE Journal of Solid-State Circuits, 42, 1472–1480.

    Article  Google Scholar 

  7. Loke, A. L. S., et al. (2006). A Versatile 90-nm CMOS charge-pump PLL for SerDes transmitter clocking. IEEE Journal of Solid-State Circuits, 41, 1894–1907.

    Article  Google Scholar 

  8. Young, I. A., Greason, J. K., & Wong, K. L. (1992). A PLL clock generator with 5–110 MHz of lock range for microprocessors. IEEE Journal of Solid-State Circuits, 27, 1599–1607.

    Article  Google Scholar 

  9. Cherry, E. M., & Hooper, D. E. (1963). The design of wide-band transistor feedback amplifier. Inst. Elec. Eng. Proc., 110, 375–389.

    Article  Google Scholar 

  10. Tomita, Y., et al. (2005). A 10 Gb/s receiver with series equalizer and on-chip ISI monitor in 0.11-μm CMOS. IEEE Journal of Solid-State Circuits, 40, 986–993.

    Article  Google Scholar 

  11. Nikolić, B., et al. (2000). Improved sense-amplifier-based flip-flop: Design and measurements. IEEE Journal of Solid-State Circuits, 35, 876–884.

    Article  Google Scholar 

  12. Montanaro, J., et al. (1996). A 160 MHz, 32-b, 0.5-W CMOS risc microprocessor. IEEE Journal of Solid-State Circuits, 31, 1703–1714.

    Article  Google Scholar 

  13. Kreienkamp, R., et al. (2005). A 10 Gb/s CMOS clock and data recovery circuit with an analog phase interpolator. IEEE Journal of Solid-State Circuits, 40, 736–743.

    Article  Google Scholar 

  14. Nishi, Y. et al. (2008). An ASIC-ready 1.25–6.25 Gb/s SerDes in 90 nm CMOS with multi-standard compatibility, IEEE Asian Solid-State Circuits Conference, pp. 37–40.

  15. Altera Corp. (2009). FPGAs at 40 nm and >10 Gbps: Jitter-, signal integrity-, power-, and process-optimized transceivers, available from http://www.altera.com/literature/wp/wp-01092-stratix-iv-gt-10gbps-transceivers.pdf. April 2009.

  16. Dettloff, W. D. et al. (2010). A 32 mW 7.4 Gb/s protocol-agile source-series-terminated transmitter in 45 nm CMOS SOI,” ISSCC Dig. Tech. papers, pp. 370–371.

  17. Ramezani, M., et al. (2011). An 8.4 mW/Gb/s 4-lane 48 Gb/s multi-standard-compliant transceiver in 40 nm digital CMOS technology, ISSCC Dig. Tech. papers, pp. 352–353.

Download references

Acknowledgments

The authors would like to acknowledge the support of the lab teams from MoSys and **linx for help with the silicon measurements.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Socrates D. Vamvakos.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Vamvakos, S.D., Gauthier, C.R., Rao, C. et al. A 2.488–11.2 Gb/s SerDes in 40 nm low-leakage CMOS with multi-protocol compatibility for FPGA applications. Analog Integr Circ Sig Process 78, 259–273 (2014). https://doi.org/10.1007/s10470-013-0172-1

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-013-0172-1

Keywords

Navigation