Log in

A Low-Temperature SiO2 Interfacial Layer Preparation using Rapid Thermal Oxidation Process for GAA Nanosheet Based I/O Transistor

  • Research
  • Published:
Silicon Aims and scope Submit manuscript

Abstract

In this paper, a low-temperature SiO2 interfacial layer preparation using rapid thermal oxidation (RTO) process for gate-all-around (GAA) nanosheet (NS) based input-output (I/O) transistor is explored in detail. After preparing high-quality thick SiO2 IL at 600℃, its MOS capacitor with W/TiN/HfO2/SiO2/Si substrate structure achieves well behaved multi-frequency capacitance-voltage characteristics, low leakage current, low interfacial trap density (Dit), and excellent time-dependent dielectric breakdown. For instance, its gate leakage under flatband voltage (Vfb) -1 V is only 2.48 × 10–9 A/cm2, its minimum Dit reaches 5.1 × 1010 eV−1 cm−2, and its ten-year lifetime effective voltage can reach 3.62 V at a failure rate of 0.01%. Moreover, a GAA NS based I/O device with a healthy gate stack is successfully prepared using this low-temperature SiO2 interfacial layer and its gate leakage is below 1 × 10–13 A, approaching the detection limit. These above results indicate that this low-temperature RTO-grown SiO2 IL has excellent quality and can meet the requirements of GAA NSs I/O transistors.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Price includes VAT (France)

Instant access to the full article PDF.

Similar content being viewed by others

Data Availability

The data that support the findings of this study are available from the corresponding author upon reasonable request.

References

  1. Loubet N, Hook T, Montanini P, Yeung CW, Kanakasabapathy S, Guillom M, Yamashita T, Zhang J, Miao X, Wang J, Young A, Chao R, Kang M, Liu Z, Fan S, Hamieh B, Sieg S, Mignot Y, Xu W, Seo SC, Yoo J, Mochizuki S, Sankarapandian M, Kwon O, Carr A, Greene A, Park Y, Frougier J, Galatage R, Bao R, Shearer J, Conti R, Song H, Lee D, Kong D, Xu Y, Arceo A, Bi Z, Xu P, Muthinti R, Li J, Wong R, Brown D, Oldiges P, Robison R, Arnold J, Felix N, Skordas S, Gaudiello J, Standaert T, Jagannathan H, Corliss D, Na MH, Knorr A, Wu T, Gupta D, Lian S, Divakaruni R, Gow T, Labelle C, Lee S, Paruchuri V, Bu H, Khare M (2017) Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. 2017 symposium on VLSI technology, pp T230–T231

  2. Mertens H, Ritzenthaler R, Pena V, Santoro G, Kenis K, Schulze A, Litta ED, Chew SA, Devriendt K, Demuynck CrS, Yakimets D, Jang D, Spessot A, Eneman G, Dangol A, Lagrain P, Bender H, Sun S, Korolik M, Kioussis D, Kim M, Bu KH, Chen SC, Cogorno M, Devrajan J, Machillot J, Yoshida N, Kim N, Barla K, Mocuta D, Horiguchi N (2017) Vertically stacked gate-all-around Si nanowire transistors: key process optimizations and ring oscillator demonstration. In: 2017 IEEE international electron devices meeting (IEDM), pp 37.4.1–37.4.4

  3. Bae G, Bae DI, Kang M, Hwang SM, Kim SS, Seo B, Kwon TY, Lee TJ, Moon C, Choi YM, Oikawa K, Masuoka S, Chun KY, Park SH, Shin HJ, Kim JC, Bhuwalka KK, Kim DH, Kim WJ, Yoo J, Jeon HY, Yang MS, Chung SJ, Kim D, Ham BH, Park KJ, Kim WD, Park SH, Song G, Kim YH, Kang MS, Hwang KH, Park CH, Lee JH, Kim DW, Jung SM, Kang HK (2018) 3nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications. 2018 IEEE international electron devices meeting (IEDM), pp 28.7.1–28.7.4

  4. Cheng X, Li Y, Zhao F, Chen A, Liu H, Li C, Zhang Q, Yin H, Luo J, Wang W (2022) 4-Levels vertically stacked SiGe channel nanowires gate-all-around transistor with novel channel releasing and source and drain silicide process. Nanomaterials 12(5):889

  5. Saleh R, Wilton S, Mirabbasi S, Hu A, Greenstreet M, Lemieux G, Pande PP, Grecu C, Ivanov A (2006) System-on-Chip: reuse and integration. Proc IEEE 94(6):1050–1069

    Article  Google Scholar 

  6. Wei A, Singh J, Bouche G, Zaleski M, Augur R, Senapati B, Stephens J, Lin I, Rashed M, Yuan L, Kye J, Woo Y, Zeng J, Levinson H, Wehbi A, Hang P, Ton-That V, Kanagala V, Yu D, Blackwell D, Beece A, Gao S, Thangaraju S, Alapati R, Samavedam S (2014) Challenges of analog and I/O scaling in 10nm SoC technology and beyond. In: 2014 IEEE international electron devices meeting, pp 18.3.1–18.3.4

  7. Hiblot G et al (2019) Comparative analysis of the degradation mechanisms in logic and I/O FinFET devices induced by plasma damage. 2019 IEEE international reliability physics symposium (IRPS). IEEE

  8. Barraud S, Previtali B, Vizioz C, Hartmann JM, Sturm J, Lassarre J, Perrot C, Rodriguez P, Loup V, Magalhaes-Lucas A, Kies R, Romano G, Cass´e M, Bernier N, Jannaud A, Grenier A, Andrieu F (2020) 7-Levels-Stacked nanosheet GAA transistors for high performance computing. In: 2020 IEEE symposium on VLSI technology, pp 1–2

  9. Bhuiyan M, Kim M, Zhou H, Lo H, Siddiqui S, Stolfi M, Guarini T, Pujari R, Davey E, Stuckert E (2021) Gate-Last I/O transistors based on stacked gate-all-around nanosheet architecture for advanced logic technologies. In: 2021 IEEE international electron devices meeting (IEDM), IEEE, pp 1–4

  10. Hellings G, Mertens H, Subirats A, Simoen E, Schram T, Ragnarsson L-A, Simicic M, Chen S-H, Parvais B, Boudier D (2018) Si/SiGe Superlattice I/O FinFETs in a vertically-stacked gate-all-around horizontal nanowire technology. 2018 IEEE symposium on VLSI technology, IEEE, pp 85–86

  11. Zhao F, Li Y, Luo H, Jia X, Zhang J, Mao X, Li Y (2023) Stacked SiGe/Si I/O FinFET device preparation in a vertically stacked gate-all-around technology. Mater Sci Semicond Process 164:107634

    Article  CAS  Google Scholar 

  12. Zhao F, Li Y, Zhang J, Jia X, Chen A, Wang W (n.d.) Novel stacked SiGe/Si FinFET device with subthreshold swing of 68 mV/dec using optimized thermal budget and channel passivation technology. Silicon 15(8):3663–3669

  13. Kuzum D, Krishnamohan T, Pethe AJ, Okyay AK, Oshima Y, Sun Y, ... Saraswat KC (2008) Ge-interface engineering with ozone oxidation for low interface-state density. IEEE Electron Device Lett 29(4):328–330

  14. Schroder DK (2015) Semiconductor material and device characterization. John Wiley & Sons, New York

    Google Scholar 

  15. Vogel EM, Henson WK, Richter CA, Suehle JS (2000) IEEE Trans Electron Devices 47:601

    Article  ADS  CAS  Google Scholar 

  16. Brummer AC, Kurup S, Aziz D, Filler MA, Vogel EM (2023) Investigating wet chemical oxidation methods to form SiO2 interlayers for self-aligned Pt-HfO2-Si gate stacks. J Vac Sci Technol A 41(5):053201

  17. Zhao F, Jia X, Luo H, Zhang J, Mao X, Li Y, ... Li Y (2023) Hybrid integrated Si nanosheet GAA-FET and stacked SiGe/Si FinFET using selective channel release strategy. Microelectron Eng 275:111993

  18. Virani HG, Rao RB, Kottantharayil A (2010) Investigation of novel Si/SiGe heterostructures and gate induced source tunneling for improvement of p-Channel tunnel field-effect transistors. Jpn J Appl Phys 49:04DC12

  19. Chan TY, Chen J, Ko PK, Hu C (1987) The impact of gate-induced drain leakage current on MOSFET scaling. In: 1987 international electron devices meeting. IRE, pp 718–721

  20. Lee K, Kaczer B, Kruv A, Gonzalez M, Eneman G, Okudur OO, Grill A, De Wolf I (2022) Gate-induced-drain-leakage (GIDL) in CMOS enhanced by mechanical stress. IEEE Trans Electron Devices 69:2214–2217

    Article  ADS  CAS  Google Scholar 

  21. Lee G-B, Kim J-Y, Choi Y-K (2023) A novel charge pum** technique with gate-induced drain leakage current. IEEE Electron Device Lett 44(5):709–712

    Article  ADS  Google Scholar 

Download references

Acknowledgements

We thank the Integrated Circuit Advanced Process Center of the Institute of Microelectronics of the Chinese Academy of Sciences for the samples fabricated on their 200 mm CMOS platform.

Funding

This work is supported by Strategic Priority Research Program of Chinese Academy of Sciences (Grant no. XDA0330304).

Author information

Authors and Affiliations

Authors

Contributions

HuaiZhi Luo, ** Zhang, and YongLiang Li: Conceptualization, methodology, and formal analysis; Yan Li, WenJuan **ong, and ShengKai Wang: validation; HuaiZhi Luo, Fei Zhao, and **aoTong Mao: writing—original draft preparation; Yan Li: writing—review and editing; YongLiang Li: funding acquisition.

Corresponding authors

Correspondence to Yan Li or Yongliang Li.

Ethics declarations

Ethics Approval

Not applicable.

Consent to Participate

We provide us permission to participate and publish our article in this publication.

Consent for Publication

We agree to have our paper published in this journal.

Competing Interests

The authors declare no competing interests.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Luo, H., Li, Y., Zhao, F. et al. A Low-Temperature SiO2 Interfacial Layer Preparation using Rapid Thermal Oxidation Process for GAA Nanosheet Based I/O Transistor. Silicon 16, 1619–1625 (2024). https://doi.org/10.1007/s12633-023-02789-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s12633-023-02789-6

Keywords

Navigation