Direct Cu to Cu Bonding and Alternative Bonding Techniques in 3D Packaging

  • Chapter
  • First Online:
3D Microelectronic Packaging

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 64))

Abstract

This chapter provides insight into direct Cu to Cu bonding and summarizes several critical empirical results. After comparing solder-less Cu–Cu bonding with solder-based bonding, we introduce various Cu–Cu stacking/bonding schemes for different 3D integration applications. We then review a number of methods of low-temperature Cu–Cu bonding including: (a) thermo-compression bonding (diffusion bonding), (b) Cu–Cu bonding with passivation cap** layers, (c) surface-activated bonding (SAB) and (d) alternative bonding methods (e.g. Cu/dielectric hybrid bonding and Cu–Cu insertion bonding). The effects of surface activation, surface microstructures and characteristics, and surface passivation on Cu–Cu bonding are highlighted an– discussed to understand how bonding behavior depends on Cu surface cleanness, diffusion, temperature, compression pressure, and bonding atmosphere. Lastly, we briefly introduce the commercial equipment for Cu–Cu bonding for high-volume manufacturing and summarize with recommendations for future research directions.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
EUR 29.95
Price includes VAT (Germany)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
EUR 139.09
Price includes VAT (Germany)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
EUR 181.89
Price includes VAT (Germany)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info
Hardcover Book
EUR 181.89
Price includes VAT (Germany)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

Notes

  1. 1.

    Process times targets depend on stacking process e.g. chip-on-chip (CoC), chip-on-wafer (CoW) or wafer-on-wafer (WoW) processes, equipment configuration and manufacturing embodiment and resulting throughput and Model of Record (MoR). For Cu–Cu process to be adopted in high-volume manufacturing (HVM), higher throughput and lower cost is required compared to established (and depreciated) solder-based processes.

  2. 2.

    Acquired by Tessera, now consolidated in Xperi and TiVo merger end of 2019.

  3. 3.

    Pre-tested and sorted chips, hence the nomenclature: “known good dies” (KGD’s)

  4. 4.

    http://www.leti-cea.com/cea-tech/leti/english/Pages/Welcome.aspx.

References

  1. A. Fan, A. Rahman, R. Reif, Copper wafer bonding. Electrochem. Solid-State Lett. 2, 534–536 (1999). https://doi.org/10.1149/1.1390894

    Article  Google Scholar 

  2. A. Shigetou, N. Hosoda, T. Itoh, T. Suga, Room-temperature direct bonding of CMP-Cu film for bumpless interconnection, in 2001 51st Electron Electronic Components and Technology Conference (Orlando, FL, 2001), pp 755–760

    Google Scholar 

  3. P.R. Morrow, C.-M. Park, S. Ramanathan, M.J. Kobrinsky, M. Harmes, Three-dimensional wafer stacking via Cu–Cu bonding integrated with 65-nm strained-Si/low-k CMOS technology. IEEE Electron Device Lett. 27, 335–337 (2006). https://doi.org/10.1109/LED.2006.873424

    Article  ADS  Google Scholar 

  4. A. Shigetou, T. Itoh, M. Matsuo, N. Hayasaka, K. Okumura, T. Suga, Bumpless interconnect through ultrafine Cu electrodes by means of surface-activated bonding (SAB) method. IEEE Trans. Adv. Packag. 29, 218–226 (2006). https://doi.org/10.1109/TADVP.2006.873138

    Article  Google Scholar 

  5. B. Swinnen, W. Ruythooren, P. De Moor, L. Bogaerts, L. Carbonell, K. De Munck, B. Eyckens, S. Stoukatch, D.S. Tezcan, Z. Tokei, J. Vaes, 3D integration by Cu–Cu thermo-compression bonding of extremely thinned bulk-Si die containing 10 μm pitch through-Si vias, in 2006 International Electron Devices Meeting (IEEE, 2006), pp. 1–4

    Google Scholar 

  6. Tezzaron Company History. http://www.tezzaron.com/about-us/company-history. Accessed 18 Jun 2016

  7. G.W. Deptuch, M. Demarteau, J.R. Hoff, R. Lipton, A. Shenai, M. Trimpl, R. Yarema, T. Zimmerman, Vertically integrated circuits at Fermilab. IEEE Trans. Nucl. Sci. 57, 2178–2186 (2010). https://doi.org/10.1109/TNS.2010.2049659

    Article  ADS  Google Scholar 

  8. R. Yarema, G. Deptuch, J. Hoff, F. Khalid, R. Lipton, A. Shenai, M. Trimpl, T. Zimmerman, Vertically integrated circuit development at Fermilab for detectors. J. Instrum. 8, C01052 (2013). https://doi.org/10.1088/1748-0221/8/01/C01052

    Article  Google Scholar 

  9. Chipworks, Samsung Galaxy S7 Edge Teardown Report, 2016

    Google Scholar 

  10. M. Higashiwaki, K. Sasaki, T. Kamimura, M.H. Wong, D. Krishnamurthy, A. Kuramata, T. Masui, S. Yamakoshi, Depletion-mode Ga2O3 metal-oxide-semiconductor field-effect transistors on β-Ga2O3 (010) substrates and temperature dependence of their device characteristics. Appl. Phys. Lett. 103, 123511 (2013). https://doi.org/10.1063/1.4821858

    Article  ADS  Google Scholar 

  11. T. Fukushima, Y. Yamada, H. Kikuchi, M. Koyanagi, New three-dimensional integration technology using self-assembly technique, in IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest. (IEEE, 2005), pp. 348–351

    Google Scholar 

  12. Y.-S. Tang, Y.-J. Chang, K.-N. Chen, Wafer-level Cu–Cu bonding technology. Microelectron. Reliab. 52, 312–320 (2012). https://doi.org/10.1016/j.microrel.2011.04.016

    Article  Google Scholar 

  13. C.S. Tan, R. Reif, N.D. Theodore, S. Pozder, Observation of interfacial void formation in bonded copper layers. Appl. Phys. Lett. 87, 201909 (2005). https://doi.org/10.1063/1.2130534

    Article  ADS  Google Scholar 

  14. B. Rebhan, T. Plach, S. Tollabimazraehno, V. Dragoi, M. Kawano, Cu–Cu wafer bonding: An enabling technology for three-dimensional integration. In: 2014 International Conference on Electronics Packaging (ICEP) (IEEE, 2014). pp 475–479

    Google Scholar 

  15. B. Rebhan, S. Tollabimazraehno, G. Hesser, V. Dragoi, Analytical methods used for low temperature Cu–Cu wafer bonding process evaluation. Microsyst. Technol. 21, 1003–1013 (2015). https://doi.org/10.1007/s00542-015-2446-2

    Article  Google Scholar 

  16. W. Yang, M. Akaike, M. Fu**o, T. Suga, A combined process of formic acid pretreatment for low-temperature bonding of copper electrodes. ECS J. Solid State Sci. Technol. 2, P271–P274 (2013). https://doi.org/10.1149/2.010306jss

    Article  Google Scholar 

  17. W. Yang, M. Akaike, T. Suga, Effect of formic acid vapor in situ treatment process on Cu low-temperature bonding. IEEE Trans. Compon. Packag. Manuf. Technol. 4, 951–956 (2014). https://doi.org/10.1109/TCPMT.2014.2315761

    Article  Google Scholar 

  18. P.-I. Wang, S.H. Lee, T.C. Parker, M.D. Frey, T. Karabacak, J.-Q. Lu, T.-M. Lu, Low temperature wafer bonding by Copper Nanorod Array. Electrochem. Solid-State Lett. 12, H138–H141 (2009). https://doi.org/10.1149/1.3075900

    Article  Google Scholar 

  19. T. Ishizaki, R. Watanabe, A new one-pot method for the synthesis of Cu nanoparticles for low temperature bonding. J. Mater. Chem. 22, 25198–25206 (2012). https://doi.org/10.1039/C2JM34954J

    Article  Google Scholar 

  20. C.-M. Liu, H.-W. Lin, Y.-S. Huang, Y.-C. Chu, C. Chen, D.-R. Lyu, K.-N. Chen, K.-N. Tu, Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu. Sci. Rep. 5, 9734 (2015). https://doi.org/10.1038/srep09734

    Article  Google Scholar 

  21. T. Shimatsu, M. Uomoto, Atomic diffusion bonding of wafers with thin nanocrystalline metal films. J. Vac. Sci. Technol., B 28, 706–714 (2010). https://doi.org/10.1116/1.3437515

    Article  Google Scholar 

  22. T. Shimatsu, M. Uomoto, Room temperature bonding of wafers with thin nanocrystalline metal films. ECS Trans. 33, 61–72 (2010). https://doi.org/10.1149/1.3483494

    Article  Google Scholar 

  23. V. Smet, M. Kobayashi, T. Wang, P.M. Raj, R. Tummala, A new era in manufacturable, low-temperature and ultra-fine pitch Cu interconnections and assembly without solders, in 2014 IEEE 64th Electronic Components and Technology Conference (ECTC) (IEEE, 2014), pp. 484–489

    Google Scholar 

  24. C.S. Tan, D.F. Lim, S.G. Singh, S.K. Goulet, M. Bergkvist, Cu–Cu diffusion bonding enhancement at low temperature by surface passivation using self-assembled monolayer of alkane-thiol. Appl. Phys. Lett. 95, 192108 (2009). https://doi.org/10.1063/1.3263154

    Article  ADS  Google Scholar 

  25. D.F. Lim, J. Wei, K.C. Leong, C.S. Tan, Surface passivation of Cu for low temperature 3D wafer bonding. ECS Solid State Lett. 1, P11–P14 (2012)

    Article  Google Scholar 

  26. D.F. Lim, J. Wei, K.C. Leong, C.S. Tan, Cu passivation for enhanced low temperature (⩽300 °C) bonding in 3D integration. Microelectron. Eng. 106, 144–148 (2013). https://doi.org/10.1016/j.mee.2013.01.032

    Article  Google Scholar 

  27. L. Peng, L. Zhang, J. Fan, H.Y. Li, D.F. Lim, C.S. Tan, Ultrafine pitch (6 μm) of recessed and bonded Cu–Cu interconnects by three-dimensional wafer stacking. IEEE Electron. Device Lett. 33, 1747–1749 (2012). https://doi.org/10.1109/LED.2012.2218273

    Article  ADS  Google Scholar 

  28. S. Armini, Y. Vandelaer, A. Lesniewska, V. Cherman, I. De Preter, F. Inoue, J. Derakhshandeh, G. Vakanas, E. Beyne, Thiol-based self-assembled monolayers (SAMs) as an alternative surface finish for 3D Cu microbumps, in TMS 2015 Proceedings Supplements, (Wiley, London, 2015), pp. 1355–1360

    Google Scholar 

  29. Y.-P. Huang, Y.-S. Chien, R.-N. Tzeng, M.-S. Shy, T.-H. Lin, K.-H. Chen, C.-T. Chiu, J.-C. Chiou, C.-T. Chuang, W. Hwang, H.-M. Tong, K.-N. Chen, Novel Cu-to-Cu bonding With Ti passivation at 180 °C in 3-D integration. IEEE Electron. Device Lett. 34, 1551–1553 (2013). https://doi.org/10.1109/LED.2013.2285702

    Article  ADS  Google Scholar 

  30. A.K. Panigrahi, S. Bonam, T. Ghosh, S.G. Singh, S.R.K. Vanjari, Ultra-thin Ti passivation mediated breakthrough in high quality Cu–Cu bonding at low temperature and pressure. Mater. Lett. 169, 269–272 (2016). https://doi.org/10.1016/j.matlet.2016.01.126

    Article  Google Scholar 

  31. Y.-P. Huang, Y.-S. Chien, R.-N. Tzeng, K.-N. Chen, Demonstration and electrical performance of Cu–Cu bonding at 150 °C with pd passivation. IEEE Trans. Electron Devices 62, 2587–2592 (2015). https://doi.org/10.1109/TED.2015.2446507

    Article  ADS  Google Scholar 

  32. E. Beyne, V.J. De, J. Derakhshandeh, L. England, G. Vakanas, Thin Nib or Cob cap** layer for non-noble metallic bonding landing pads (2015)

    Google Scholar 

  33. T.H. Kim, M.M.R. Howlader, T. Itoh, T. Suga, Room temperature Cu–Cu direct bonding using surface activated bonding method. J. Vac. Sci. Technol., A 21, 449–453 (2003). https://doi.org/10.1116/1.1537716

    Article  ADS  Google Scholar 

  34. A. Shigetou, T. Itoh, T. Suga, Direct bonding of CMP-Cu films by surface activated bonding (SAB) method. J. Mater. Sci. 40, 3149–3154 (2005). https://doi.org/10.1007/s10853-005-2677-1

    Article  ADS  Google Scholar 

  35. T. Suga, Feasibility of surface activated bonding for ultra-fine pitch interconnection-a new concept of bump-less direct bonding for system level packaging, in 2000 Proceedings. 50th Electronic Components and Technology Conference (Cat. No. 00CH37070) (IEEE, 2000), pp. 702–705

    Google Scholar 

  36. T. Suga, K. Otsuka, Bump-less interconnect for next generation system packaging. in 2001 Proceedings. 51st Electronic Components and Technology Conference (Cat. No. 01CH37220), (IEEE, 2001), pp. 1003–1008

    Google Scholar 

  37. A. Shigetou, T. Itoh, K. Sawada, T. Suga, Bumpless Interconnect of 6-μm-Pitch Cu Electrodes at Room Temperature. IEEE Trans. Adv. Packag. 31, 473–478 (2008). https://doi.org/10.1109/TADVP.2008.920644

    Article  Google Scholar 

  38. A. Shigetou, T. Suga, Modified diffusion bonding of chemical mechanical polishing Cu at 150 °C at ambient pressure. Appl. Phys. Express 2, 056501 (2009). https://doi.org/10.1143/APEX.2.056501

    Article  ADS  Google Scholar 

  39. A. Shigetou, T. Suga, Vapor-assisted surface activation method for homo- and heterogeneous bonding of Cu, SiO2, and polyimide at 150 °C and atmospheric pressure. J. Electron. Mater. 41, 2274–2280 (2012). https://doi.org/10.1007/s11664-012-2091-9

    Article  ADS  Google Scholar 

  40. Shigetou, A. and Suga, T., Modified diffusion bond process for chemical mechanical polishing (CMP)-Cu at 150 °C in ambient air, in 2009 59th Electronic Components and Technology Conference (IEEE, San Diego, CA, 2009) pp. 365–369

    Google Scholar 

  41. A. Shigetou, T. Suga, Homo/heterogeneous bonding of Cu, SiO2, and polyimide by low temperature vapor-assisted surface activation method, in 2011 IEEE 61st Electronic Components and Technology Conference (ECTC) (IEEE, Lake Buena Vista, 2011), pp. 32–36

    Google Scholar 

  42. T. Plach, K. Hingerl, S. Tollabimazraehno, G. Hesser, V. Dragoi, M. Wimplinger, Mechanisms for room temperature direct wafer bonding. J. Appl. Phys. 113, 094905 (2013). https://doi.org/10.1063/1.4794319

    Article  ADS  Google Scholar 

  43. T. Suni, K. Henttinen, I. Suni, J. Mäkinen, Effects of plasma activation on hydrophilic bonding of Si and SiO2. J. Electrochem. Soc. 149, G348–G351 (2002). https://doi.org/10.1149/1.1477209

    Article  Google Scholar 

  44. Y.-H. Wang, K. Nishida, M. Hutter, T. Kimura, T. Suga, Low-temperature process of fine-pitch Au–Sn bump bonding in ambient air. Jpn. J. Appl. Phys. 46, 1961 (2007). https://doi.org/10.1143/JJAP.46.1961

    Article  ADS  Google Scholar 

  45. K. Okumura, E. Higurashi, T. Suga, K. Hagiwara, Influence of air exposure time on bonding strength in Au-Au surface activated wafer bonding, in 2015 International Conference on Electronics Packaging and iMAPS All Asia Conference (ICEP-IAAC) (IEEE, 2015), pp. 448–451

    Google Scholar 

  46. H. Ishida, T. Ogashiwa, Y. Kanehira, S. Ito, T. Yazaki, J. Mizuno, Low-temperature, surface-compliant wafer bonding using sub-micron gold particles for wafer-level MEMS packaging, in 2012 IEEE 62nd Electronic Components and Technology Conference (IEEE, 2012), pp. 1140–1145

    Google Scholar 

  47. M. Park, S. Baek, S. Kim, S.E. Kim, Argon plasma treatment on Cu surface for Cu bonding in 3D integration and their characteristics. Appl. Surf. Sci. 324, 168–173 (2015). https://doi.org/10.1016/j.apsusc.2014.10.098

    Article  ADS  Google Scholar 

  48. S.L. Chua, G.Y. Chong, Y.H. Lee, C.S. Tan, Direct copper-copper wafer bonding with Ar/N2 plasma activation, in 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC) (IEEE, 2015), pp. 134–137

    Google Scholar 

  49. P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, H. Grady, Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications, in 2009 IEEE International Conference on 3D System Integration (IEEE, 2009), pp. 1–6

    Google Scholar 

  50. P. Enquist, Metal/silicon oxide hybrid bonding, in P. Ramm, J.J.-Q.Lu, M.M.V. Taklo, Handb. Wafer Bond eds by (Wiley, Weinheim, Germany, 2012), pp. 261–278

    Google Scholar 

  51. Y.-L. Chao, Q.-Y. Tong, T.-H. Lee, M. Reiche, R. Scholz, J.C.S. Woo, U. Gösele, Ammonium hydroxide effect on low-temperature wafer bonding energy enhancement. Electrochem. Solid-State Lett. 8, G74–G77 (2005). https://doi.org/10.1149/1.1857671

    Article  Google Scholar 

  52. Q.-Y. Tong, G. Fountain, P. Enquist, Room temperature SiO2/SiO2 covalent bonding. Appl. Phys. Lett. 89, 042110 (2006). https://doi.org/10.1063/1.2240232

    Article  ADS  Google Scholar 

  53. L. Di Cioccio, S. Moreau, L. Sanchez, F. Baudin, P. Gueguen, S. Mermoz, Y. Beilliard, R. Taibi, Cu/SiO2 Hybrid Bonding, in P. Garrou, M. Koyanagi, P. Ramm, eds by Handb. 3D Integr (Wiley, KGaA, 2014), pp 295–312

    Google Scholar 

  54. L.D. Cioccio, P. Gueguen, R. Taibi, D. Landru, G. Gaudin, C. Chappaz, F. Rieutord, F. de Crecy, I. Radu, L.L. Chapelon, L. Clavelier, An overview of patterned metal/dielectric surface bonding: mechanism, alignment and characterization. J. Electrochem. Soc. 158, P81–P86 (2011). https://doi.org/10.1149/1.3577596

    Article  Google Scholar 

  55. I. Radu, D. Landru, G. Gaudin, G. Riou, C. Tempesta, F. Letertre, L. Di Cioccio, P. Gueguen, T. Signamarcheix, C. Euvrard, J. Dechamp, Recent Developments of Cu-Cu non-thermo compression bonding for wafer-to-wafer 3D stacking, in 2010 IEEE International 3D Systems Integration Conference (3DIC) (IEEE, Munich, 2010), pp. 1–6

    Google Scholar 

  56. C. Sabbione, L.D. Cioccio, L. Vandroux, J.-P. Nieto, F. Rieutord, Low temperature direct bonding mechanisms of tetraethyl orthosilicate based silicon oxide films deposited by plasma enhanced chemical vapor deposition. J. Appl. Phys. 112, 063501 (2012). https://doi.org/10.1063/1.4752258

    Article  ADS  Google Scholar 

  57. P. Gondcharton, B. Imbert, L. Benaissa, V. Carron, M. Verdier, Kinetics of low temperature direct copper–copper bonding. Microsyst. Technol. 21, 995–1001 (2015). https://doi.org/10.1007/s00542-015-2436-4

    Article  Google Scholar 

  58. P. Gueguen, L. Di Cioccio, P. Gergaud, M. Rivoire, D. Scevola, M. Zussy, A.M. Charvet, L. Bally, D. Lafond, L. Clavelier, Copper direct-bonding characterization and its interests for 3D integration. J. Electrochem. Soc. 156, H772 (2009). https://doi.org/10.1149/1.3187271

    Article  Google Scholar 

  59. A. Shigetou, T. Suga, Modified diffusion bonding for both Cu and SiO 2 at 150 °C in ambient air, in 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC) (IEEE, Las Vegas, NV, USA, 2010), pp. 872–877

    Google Scholar 

  60. R. He, M. Fu**o, A. Yamauchi, Y. Wang, T. Suga, Combined surface activated bonding technique for low-temperature cu/dielectric hybrid bonding. ECS J. Solid State Sci. Technol. 5, P419–P424 (2016). https://doi.org/10.1149/2.0201607jss

    Article  Google Scholar 

  61. R. He, M. Fu**o, A. Yamauchi, T. Suga, Combined surface-activated bonding technique for low-temperature hydrophilic direct wafer bonding. Jpn J Appl Phys 55:04EC02 (2016). https://doi.org/10.7567/jjap.55.04ec02

  62. Q.-Y. Tong, J.G.G. Fountain, P.M. Enquist, Method for low temperature bonding and bonded structure. US Patent 6,902,987, 2005

    Google Scholar 

  63. C. Sanders, Continued adoption of low temperature direct bond technology for high volume 3D commercial applications. 3D Architectures for Semiconductor Integration and Packaging (3D ASIP) (2012)

    Google Scholar 

  64. L.D. Cioccio, F. Baudin, P. Gergaud, V. Delaye, P.-H. Jouneau, F. Rieutord, T. Signamarcheix, Modeling and integration phenomena of metal-metal direct bonding technology. ECS Trans. 64, 339–355 (2014). https://doi.org/10.1149/06405.0339ecst

    Article  Google Scholar 

  65. C. Rauer, H. Moriceau, F. Fournel, A.M. Charvet, C. Morales, N. Rochat, L. Vandroux, F. Rieutord, T. McCormick, I. Radu, Treatments of deposited SiOx surfaces enabling low temperature direct bonding. ECS J. Solid State Sci. Technol. 2, Q147–Q150 (2013). https://doi.org/10.1149/2.004309jss

    Article  Google Scholar 

  66. C. Ventosa, C. Morales, L. Libralesso, F. Fournel, A.M. Papon, D. Lafond, H. Moriceau, J.D. Penot, F. Rieutord, Mechanism of thermal silicon oxide direct wafer bonding. Electrochem. Solid-State Lett. 12, H373–H375 (2009). https://doi.org/10.1149/1.3193533

    Article  Google Scholar 

  67. F. Fournel, C. Martin-Cocher, D. Radisson, V. Larrey, E. Beche, C. Morales, P.A. Delean, F. Rieutord, H. Moriceau, Water stress corrosion in bonded structures. ECS J. Solid State Sci. Technol. 4, P124–P130 (2015). https://doi.org/10.1149/2.0031505jss

    Article  Google Scholar 

  68. P. Gondcharton, B. Imbert, L. Benaissa, M. Verdier, Voiding phenomena in copper-copper bonded structures: role of creep. ECS J. Solid State Sci. Technol. 4, P77–P82 (2015). https://doi.org/10.1149/2.0081503jss

    Article  Google Scholar 

  69. P. Gondcharton, B. Imbert, L. Benaissa, F. Fournel, M. Verdier, Effect of copper-copper direct bonding on voiding in metal thin films. J. Electron. Mater. 44, 4128–4133 (2015). https://doi.org/10.1007/s11664-015-3992-1

    Article  ADS  Google Scholar 

  70. S. Lhostis, A. Farcy, E. Deloffre, F. Lorut, S. Mermoz, Y. Henrion, L. Berthier, F. Bailly, D. Scevola, F. Guyader, F. Gigon, C. Besset, S. Pellissier, L. Gay, N. Hotellier, M. Arnoux, A.-L. Le Berrigo, S. Moreau, V. Balan, F. Fournel, A. Jouve, S. Chéramy, B. Rebhan, G.A. Maier, L. Chitu, Reliable 300 mm wafer level hybrid bonding for 3D stacked CMOS image sensors. In 2016 IEEE 66th Electronic Components and Technology Conference (ECTC) (IEEE, 2016), pp. 869–876

    Google Scholar 

  71. H. Takagi, J. Utsumi, M. Takahashi, R. Maeda, Room-temperature bonding of oxide wafers by Ar-beam surface activation. ECS Trans. 16, 531–537 (2008). https://doi.org/10.1149/1.2982908

    Article  Google Scholar 

  72. F. Liu, R.R. Yu, A.M. Young, J.P. Doyle, X. Wang, L. Shi, K.N. Chen, X. Li, D.A. Dipaola, D. Brown, C.T. Ryan, A 300-mm wafer-level three-dimensional integration scheme using tungsten through-silicon via and hybrid Cu-adhesive bonding, in 2008 IEEE International Electron Devices Meeting (IEEE, 2008), pp. 1–4

    Google Scholar 

  73. J.J. McMahon, E. Chan, S.H. Lee, R.J. Gutmann, J.Q. Lu, Bonding interfaces in wafer-level metal/adhesive bonded 3D integration, in 2008 58th Electronic Components and Technology Conference (IEEE, 2008), pp. 871–878

    Google Scholar 

  74. Hozawa K, Aoki M, Furuta F, Takeda K, Yanagisawa A, Kikuchi H, Mitsuhashi T, Kobayashi H (2013) 3D Integration Technology using Hybrid Wafer Bonding and its Electrical Characteristics. In: 13th Int. Symp. Electron. Packag. ICEP2013. Osaka, Japan, pp 118–122

    Google Scholar 

  75. J.J. McMahon, J.Q. Lu, R.J. Gutmann, Wafer bonding of damascene-patterned metal/adhesive redistribution layers for via-first three-dimensional (3D) interconnect, in 55th Proceedings Electronic Components and Technology, 2005. ECTC’05. (IEEE, 2005), pp. 331–336

    Google Scholar 

  76. Z.-C. Hsiao, C.-T. Ko, H.-H. Chang, H.-C. Fu, C.-W. Chiang, C.-K. Hsu, W.-W. Shen, W.-C. Lo, Cu/BCB Hybrid Bonding With TSV for 3D Integration by Using Fly-Cutting Technology (IEEE, Kyoto, Japan, 2015), pp. 834–837

    Google Scholar 

  77. T. Sakai, N. Imaizumi, S. Sakuyama, Hybrid bonding technology with Cu–Cu/adhesives for high density 2.5D/3D integration. (IEEE, Big Island, HI, 2016), pp 1–6

    Google Scholar 

  78. R. He, T. Suga, Effects of Ar plasma and Ar fast atom bombardment (FAB) treatments on Cu/polymer hybrid surface for wafer bonding, in 2014 International Conference on Electronics Packaging (ICEP) (IEEE, 2014), pp. 78–81

    Google Scholar 

  79. C. Okoro, R. Agarwal, P. Limaye, B. Vandevelde, D. Vandepitte, E. Beyne, Insertion bonding: a novel Cu-Cu bonding approach for 3D integration, in 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC) (IEEE, 2010), pp. 1370–1375

    Google Scholar 

  80. G.W. Deptuch, G. Carini, P. Grybos, P. Kmon, P. Maj, M. Trimpl, D.P. Siddons, R. Szczygiel, R. Yarema, Design and tests of the vertically integrated photon imaging chip. IEEE Trans. Nucl. Sci. 61, 663–674 (2014). https://doi.org/10.1109/TNS.2013.2294673

    Article  ADS  Google Scholar 

  81. G.W. Deptuch, G. Carini, T. Collier, P. Gryboś, P. Kmon, R. Lipton, P. Maj, D.P. Siddons, R. Szczygieł, R. Yarema, Results of tests of three-dimensionally integrated chips bonded to sensors. IEEE Trans. Nucl. Sci. 62, 349–358 (2015). https://doi.org/10.1109/TNS.2014.2378784

    Article  ADS  Google Scholar 

  82. G.W. Deptuch, G. Carini, P. Enquist, P. Gryboś, S. Holm, R. Lipton, P. Maj, R. Patti, D.P. Siddons, R. Szczygieł, R. Yarema, Fully 3-D integrated pixel detectors for X-Rays. IEEE Trans. Electron Devices 63, 205–214 (2016). https://doi.org/10.1109/TED.2015.2448671

    Article  ADS  Google Scholar 

  83. F. Inoue, J. Bertheau, S. Suhard, A. Phommahaxay, T. Ohashi, T. Kinoshita, Y. Kinoshita, E. Beyne, Protective layer for collective die to wafer hybrid bonding, in 2019 International 3D Systems Integration Conference (3DIC) (IEEE, 2019), pp. 1–4

    Google Scholar 

  84. G. Vakanas, O. Minho, B. Dimcic, K. Vanstreels, B. Vandecasteele, I. De Preter, J. Derakhshandeh, K. Rebibis, M. Kajihara, I. De Wolf, E. Beyne, Formation, processing and characterization of Co–Sn intermetallic compounds for potential integration in 3D interconnects. Microelectron. Eng. 1(140), 72–80 (2015)

    Article  Google Scholar 

  85. SiP/Heterogeneous Integration Roadmap (HIR), Materials and Emerging Research (Chapter 15), 2019 edn. http://eps.ieee.org/hir

  86. K. Banerjee et al., (UCSB), CMOS-compatible graphene, (IEEE IEDM (International Electron Devices Meeting), 2018)

    Google Scholar 

Download references

Acknowledgements

The editors would like to thank Hualiang Shi and Debendra Mallik of Intel Corporation for their critical review of this Chapter.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to George Vakanas .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Editor(s) (if applicable) and The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Suga, T., He, R., Vakanas, G., La Manna, A. (2021). Direct Cu to Cu Bonding and Alternative Bonding Techniques in 3D Packaging. In: Li, Y., Goyal, D. (eds) 3D Microelectronic Packaging. Springer Series in Advanced Microelectronics, vol 64. Springer, Singapore. https://doi.org/10.1007/978-981-15-7090-2_8

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-7090-2_8

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-7089-6

  • Online ISBN: 978-981-15-7090-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics

Navigation