We are improving our search experience. To check which content you have full access to, or for advanced search, go back to the old search.

Search

Please fill in this field.

Search Results

Showing 1-20 of 6,413 results
  1. 3D passive microfluidic valves in silicon and glass using grayscale lithography and reactive ion etching transfer

    A fabrication strategy for high-efficiency passive three-dimensional microfluidic valves with no mechanical parts fabricated in silicon and glass...

    Hai Binh Phi, Sebastian Bohm, ... Steffen Strehle in Microfluidics and Nanofluidics
    Article Open access 22 July 2023
  2. Etching Technology

    In semiconductor technology, different materials like silicon dioxide, silicon nitride, amorphous, polycrystalline and monocrystalline silicon,...
    Ulrich Hilleringmann in Silicon Semiconductor Technology
    Chapter 2023
  3. Versatilely tuned vertical silicon nanowire arrays by cryogenic reactive ion etching as a lithium-ion battery anode

    Production of high-aspect-ratio silicon (Si) nanowire-based anode for lithium ion batteries is challenging particularly in terms of controlling wire...

    Andam Deatama Refino, Nursidik Yulianto, ... Hutomo Suryo Wasisto in Scientific Reports
    Article Open access 05 October 2021
  4. Plasma Parameters and Kinetics of Reactive Ion Etching of SiO2 and Si3N4 in an HBr/Cl2/Ar Mixture

    Abstract

    The parameters of the gas phase and the kinetics of reactive ion etching of SiO 2 and Si 3 N 4 under conditions of an induction RF (13.56 MHz)...

    A. M. Efremov, V. B. Betelin, K.-H. Kwon in Russian Microelectronics
    Article 01 April 2023
  5. Effect of SF6 Plasma Etching on the Optical, Morphological and Structural Properties of SiC Films

    Amorphous silicon carbide (a-SiC) films hold promise for microelectronic and MEMS devices. Prior to their use in microfabricated devices, these films...

    Nierlly Karinni de Almeida Maribondo Galvão, Armstrong Godoy Junior, ... Mariana Amorim Fraga in Silicon
    Article 10 August 2023
  6. The Technology and Applications of RIE and DRIE Processes

    The research progress of etching technology is closely related to modern processing technology and is inseparable from people’s lives. In recent...
    Conference paper 2023
  7. Plasma Parameters and Kinetics of Reactive-Ion Etching of Silicon in a C6F12O + Ar Mixture

    Abstract

    The characteristics of the gas phase and the kinetics of reactive-ion etching of silicon in a 50% C 6 F 12 O + 50% Ar plasma are studied. The...

    A. M. Efremov, V. B. Betelin, K.-H. Kwon in Russian Microelectronics
    Article 12 July 2022
  8. Optimization of deep reactive ion etching for microscale silicon hole arrays with high aspect ratio

    During deep reactive ion etching (DRIE), microscale etch masks with small opening such as trenches or holes suffer from limited aspect ratio because...

    Taeyeong Kim, Jungchul Lee in Micro and Nano Systems Letters
    Article Open access 09 September 2022
  9. Parameters of Gaseous Phase and Kinetics of Reactive Ion Etching of SiO2 in CF4/C4F8/Ar/He Plasma

    Abstract

    The electrophysical parameters of plasma, concentrations of fluorine atoms, and kinetics of reactive-ion heterogeneous processes in the CF 4 ...

    A. M. Efremov, K.-H. Kwon in Russian Microelectronics
    Article 23 December 2022
  10. Concentration of Fluorine Atoms and Kinetics of Reactive-Ion Etching of Silicon in CF4 + O2, CHF3 + O2, and C4F8 + O2 Mixtures

    Abstract

    A comparative study of the electrophysical parameters of the plasma, the fluorine atom concentrations, and the kinetics of reactive-ion...

    A. M. Efremov, A. V. Bobylev, K.-H. Kwon in Russian Microelectronics
    Article 01 August 2023
  11. Specific Features of Matching of a Lower Electrode and an RF Bias Generator for Reactive Ion Etching of Bulk Substrates

    Abstract

    Theoretical and experimental results on reactive ion etching of bulk substrate in freon-14 with RF bias on the lower electrode are presented....

    S. D. Poletayev, A. I. Lyubimov in Technical Physics
    Article 01 December 2021
  12. Microstructural characterization and inductively coupled plasma-reactive ion etching resistance of Y2O3–Y4Al2O9 composite under CF4/Ar/O2 mixed gas conditions

    In the semiconductor manufacturing process, when conducting inductively coupled plasma-reactive ion etching in challenging environments, both wafers...

    Ho ** Ma, Seonghyeon Kim, ... Young-Jo Park in Scientific Reports
    Article Open access 25 March 2024
  13. Effect of Discharge Power in a Plasma during Reactive-Ion Etching of Massive Substrates on the Matching of the Lower Electrode with a High-Frequency Bias Generator

    Abstract

    The influence of the discharge power in plasma during the reactive-ion etching of massive substrates on the matching of the lower electrode...

    S. D. Poletayev in Russian Microelectronics
    Article 07 June 2022
  14. Effect of Helium Gas Addition to SF6/O2 Chemistry for SiC Dry Etching in AlGaN/GaN/SiC HEMTs

    In this study, we present the experiment of the Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE) technique for achieving high etch yield via...
    Chanchal, Sunil Kumar, ... Manoj Saxena in The Physics of Semiconductor Devices
    Conference paper 2024
  15. Atomic Layer Etching Applications in Nano-Semiconductor Device Fabrication

    These days, the process of plasma etching is exhibited in various forms, including the reactive ion etching (RIE) method. Not only memory device but...

    Dae Sik Kim, Jae Bin Kim, ... Sung Gyu Pyo in Electronic Materials Letters
    Article 11 March 2023
  16. Plasma Etch Equipment

    This chapter begins with a brief overview of the plasma etching principle, equipment categorization, and their applications. A total of 11 typical...
    Reference work entry 2024
  17. Nanoscale Etching of La0.7Sr0.3MnO3 Without Etch Lag Using Chlorine Based Inductively Coupled Plasma

    La 0.7 Sr 0.3 MnO 3 (LSMO) has been considered as a promising material for future electronic and spintronic device application due to its unique...

    Nimphy Sarkar, Jaewoo Han, ... Seon Namgung in Electronic Materials Letters
    Article 07 January 2023
  18. Etching characteristics of NF3 and F3NO at reactive ion etching plasma for silicon oxide and silicon nitride

    Reactive ion etching of silicon oxide and silicon nitride was conducted by the injection of nitrogen trifluoride (NF 3 ) and nitrogen oxide trifluoride...

    Woo Jae Kim, In Young Bang, ... Gi-Chung Kwon in Journal of the Korean Physical Society
    Article 15 July 2021
  19. Engineering high quality graphene superlattices via ion milled ultra-thin etching masks

    Nanofabrication research pursues the miniaturization of patterned feature size. In the current state of the art, micron scale areas can be patterned...

    David Barcons Ruiz, Hanan Herzig Sheinfux, ... Frank H. L. Koppens in Nature Communications
    Article Open access 14 November 2022
  20. Combination of Reactive-Ion Etching and Chemical Etching as a Method for Optimizing the Surface Relief on AlGaInN Heterostructures

    Abstract

    A relief on the surface of GaN previously released from the growth substrate is formed by a combined method in which reactive ion etching is...

    L. K. Markov, I. P. Smirnova, ... A. S. Pavluchenko in Semiconductors
    Article 09 October 2020
Did you find what you were looking for? Share feedback.