We are improving our search experience. To check which content you have full access to, or for advanced search, go back to the old search.

Search

Please fill in this field.
Filters applied:

Search Results

Showing 1-20 of 10,000 results
  1. Basics of VLSI Design

    The term very large-scale integration (VLSI) refers to the process of designing, fabricating, and assembling millions to billions of complementary...
    Kimia Zamiri Azar, Hadi Mardani Kamali, ... Mark Tehranipoor in Understanding Logic Locking
    Chapter 2024
  2. Power-efficient VLSI realization of decimal convolution algorithms for resource-constrained environments: a design perspective in CMOS and double-gate CMOS technology

    The field of VLSI involves designing and fabricating microchips that integrate a large number of electronic components onto a single semiconductor...

    Rekib Uddin Ahmed, Harsh Raj Thakur, ... Prabir Saha in Microsystem Technologies
    Article 21 April 2024
  3. An Improved Harmony Search Approach for Block Placement for VLSI Design Automation

    Level of knowledge with the field for VLSI IC Design’s Placement and Routing and in the area of Wireless Communication is rapidly evolving; Hence the...

    Shaik Karimullah, D. Vishnuvardhan, Vidhyacharan Bhaskar in Wireless Personal Communications
    Article 01 September 2022
  4. Automated Design Error Debugging of Digital VLSI Circuits

    As the complexity and scope of VLSI designs continue to grow, fault detection processes in the pre-silicon stage have become crucial to guaranteeing...

    Mohammed Moness, Lamya Gaber, ... Hanafy M. Ali in Journal of Electronic Testing
    Article Open access 31 August 2022
  5. VLSI Floorplan Area Optimisation Technique

    Floorplanning is a crucial phase in the physical design. It estimates the overall chip area, the interconnects, and the latency by determining the...
    Mithilesh Kumar Lobiyal, Sukwinder Singh in Innovations in VLSI, Signal Processing and Computational Technologies
    Conference paper 2024
  6. VLSI Logic Design and HDL

    This chapter discusses important SOC design techniques, focusing on logic design, optimization, and HDL modelling of SOC designs. The topics covered...
    Chapter 2022
  7. Digital Design from the VLSI Perspective Concepts for VLSI Beginners

    This volume covers digital design techniques, exercises and applications. The book discusses digital design and implementation in the context of VLSI...
    Vaibbhav Taraate
    Textbook 2023
  8. VLSI Design Flow Using Vinyas Design Bot

    Very Large-Scale Integration (VLSI) is the process of creating integrated circuit (IC) by combining millions of transistors into a single chip. This...
    Veena S. Chakravarthi, S. Sowndarya, Shubham Raj in ICT Analysis and Applications
    Conference paper 2022
  9. VLSI implementation of multiplier design using reversible logic gate

    In terms of technological advancement, digital circuit design plays a vital role. Every application needs efficient designs for high-speed and...

    Article 20 February 2023
  10. VLSI Implementation of Error Correction Codes for Molecular Communication

    Molecular Communication (MC) is a multidisciplinary branch that lies at the junction of nano, bio and communication technology. MC is evolving to...

    S. Pratap Singh, Ruchi Rai, ... M. Lakshmanan in Wireless Personal Communications
    Article 05 April 2023
  11. Basics of VLSI Testing and Debug

    The increasing complexity and miniaturization of integrated circuits (ICs) have made VLSI testing a critical task in electronic device development....
    Kimia Zamiri Azar, Hadi Mardani Kamali, ... Mark Tehranipoor in Understanding Logic Locking
    Chapter 2024
  12. Automated Design Rule Checker for VLSI Circuits Using Machine Learning

    Nowadays in semiconductor industries, the design rule checking (DRC) in the VLSI physical design flow is becoming more challenging. The number of DRC...
    Mihir Rana, Nimit Malani, ... Dipesh Panchal in VLSI, Communication and Signal Processing
    Conference paper 2023
  13. Circuit Implementation of Modular Adders in Custom CMOS VLSI and FPGA

    Abstract

    Modular arithmetic is often used to create high-speed computing systems based on both custom digital VLSI circuits and field-programmable...

    P. N. Bibilo, N. A. Kirienko in Russian Microelectronics
    Article 01 December 2023
  14. Design of a low power and robust VLSI power line interference canceler with optimized arithmetic operators

    The electric generator’s functional performance suffers from harmonic distortions such as first, second, and third-order. This work proposes a low...

    Morgana Macedo Azevedo da Rosa, Patrícia Ücker da Costa, ... Sergio Bampi in Analog Integrated Circuits and Signal Processing
    Article 27 May 2022
  15. VLSI architecture of stochastic genetic algorithm for real time training of deep neural network

    In this letter, attempt has been made to successfully design a pipelined VLSI architecture for the computation of genetic algorithm (GA). The concept...

    Anirban Chakraborty, Sayantan Dutta, ... Ayan Banerjee in Sādhanā
    Article 09 May 2024
  16. Graphs in VLSI circuits and systems

    Large scale VLSI systems are highly complex, combining a diverse range of expertise, such as device physics, circuit design, computer architecture,...
    Rassul Bairamkulov, Eby Friedman in Graphs in VLSI
    Chapter 2023
  17. Graphs in VLSI

    Networks are pervasive. Very large scale integrated (VLSI) systems are no different, consisting of dozens of interconnected subsystems, hundreds of...
    Rassul Bairamkulov, Eby G. Friedman
    Book 2023
  18. A VLSI design of clock gated technique based ADC lock-in amplifier

    Nowadays, the modern microelectronics digital communication systems are using low power and efficient design for wide variety of applications in real...

    M. Saritha, M. Lavanya, ... Vallabhuni Vijay in International Journal of System Assurance Engineering and Management
    Article 30 July 2022
  19. Modelling Performance Analysis in VLSI Testing Methodologies

    In order to improve the quality of devices before they are delivered to customers, VLSI testing processes have been created to detect damaged devices...
    Conference paper 2024
Did you find what you were looking for? Share feedback.