We are improving our search experience. To check which content you have full access to, or for advanced search, go back to the old search.

Search

Please fill in this field.
Filters applied:

Search Results

Showing 1-20 of 10,000 results
  1. Attack and anomaly prediction in networks-on-chip of multiprocessor system-on-chip-based IoT utilizing machine learning approaches

    The proliferation of multiprocessor system-on-chip (MPSoC) architectures within the Internet of Things (IoT) has introduced notable security...

    Mohammed Sadoon Hathal, Basma Mohammed Saeed, ... Firas Mahmood Mustafa in Service Oriented Computing and Applications
    Article 08 May 2024
  2. SOCA-DOM: A Mobile System-on-Chip Array System for Analyzing Big Data on the Move

    Recently, analyzing big data on the move is booming. It requires that the hardware resource should be low volume, low power, light in weight,...

    Le-Le Li, Jiang-Yi Liu, ... Zhi-Bin Yu in Journal of Computer Science and Technology
    Article 30 November 2022
  3. Linear programming-based multi-objective floorplanning optimization for system-on-chip

    In the area of very large-scale integrated circuit design, optimizing floorplans for area, wirelength, and temperature poses a daunting challenge....

    S. Dayasagar Chowdary, M. S. Sudhakar in The Journal of Supercomputing
    Article 14 December 2023
  4. Research on Surface Defect Detection System of Chip Inductors Based on Machine Vision

    Artificial inspection of surface defects in chip inductors faces issues such as low efficiency and poor accuracy. To enhance production efficiency,...
    **ao Li, Xunxun Pi, ... Junhang Qiu in Artificial Intelligence Security and Privacy
    Conference paper 2024
  5. Congestion aware low power on chip protocols with network on chip with cloud security

    This article is to analyze the bottleneck problems of NoC in many more applications like multi-processor communication, computer architectures, and...

    Suresh Ponnan, Tikkireddi Aditya Kumar, ... Mohd Asif Shah in Journal of Cloud Computing
    Article Open access 09 September 2022
  6. Research progress on low-power artificial intelligence of things (AIoT) chip design

    An artificial intelligence of things (AIoT) chip is a critical hardware component in edge devices that supports data acquisition and processing in...

    Le Ye, Zhixuan Wang, ... Ru Huang in Science China Information Sciences
    Article 21 September 2023
  7. Simple LASER Tracking Algorithm Using Programmable System on Chip (PSoC) for Visible Light Communication (VLC)

    Abstract

    This paper presents a simple LASER tracking algorithm that can be used for Visible Light Communication (VLC) which is a new technology that...

    Shaher Dwik, Natarajan Somasundaram, ... Mohammad Amaya in Optical Memory and Neural Networks
    Article 01 September 2022
  8. Fault-Tolerant Network-On-Chip

    Manycore systems are emerging for tera-scale computation and typically utilize Network-on-Chip (NoC) as the communication fabrics between the cores....
    Chapter 2023
  9. HTPA: a hybrid traffic pattern aware arbitration strategy for network on chip systems

    The Network-on-Chip (NoC) is a communication infrastructure designed to integrate various components of a System-on-Chip (SoC) and connect multi-core...

    Mostafa Matin, Amin Mehranzadeh, ... Mohammad Mosleh in Cluster Computing
    Article 27 May 2024
  10. Cache Memory and On-Chip Cache Architecture: A Survey

    Presently, one of the most essential performance of new multicore CPUs is processing speed. Various components, including cache, are employed to...
    Nurulla Mansur Barbhuiya, Purnendu Das, Bishwa Ranjan Roy in Advanced Computing, Machine Learning, Robotics and Internet Technologies
    Conference paper 2024
  11. Device (Chip Design) Modeling

    This chapter provides the principles and implementations of FPGA device (chip design) modeling. FPGA device information can be derived from the...
    Kaihui Tu, **fan Tang, ... Zhufei Chu in FPGA EDA
    Chapter 2024
  12. A hot-module-aware map** approach in network-on-chip

    In this research, a hot module location-aware heuristic map** algorithm for placement of hot modules is proposed, which aims to prevent the...

    Fatemeh Vardi, Alireza Mahjoub in The Journal of Supercomputing
    Article 03 July 2023
  13. A hybrid crossbar-ring on chip network topology for performance improvement of multicore architectures

    Multicore architectures have achieved a popularity to deliver improved performance for different application domains. Performance of a system is...

    Amit D. Joshi, N. Ramasubramanian in International Journal of Information Technology
    Article 31 August 2023
  14. Design and implementation of Denial-of-Service attack in network of multiprocessor systems-on-chip with anomaly detection approach

    IoT architecture based on the multiprocessor system-on-chip (MPSoC) is widely used because of the third-party intellectual property cores (3PIPs)...

    Article 18 May 2024
  15. High-performance application map** in network-on-chip-based multicore systems

    The allocation of resources and scheduling of tasks, specifically map**, in multicore systems on-chip (MCSoC), poses significant challenges. Tasks...

    Md Farhadur Reza in The Journal of Supercomputing
    Article 18 May 2024
  16. Modelling and Impact Analysis of Antipode Attack in Bufferless On-Chip Networks

    With advancements in VLSI technology, Tiled Chip Multicore Processors (TCMP) with packet switching Network-on-Chip (NoC) have evolved as the backbone...

    Rose George Kunthara, V. R. Josna, ... John Jose in SN Computer Science
    Article 25 March 2023
  17. Detection and Localization of Channel-Short Faults in Regular On-Chip Interconnection Networks

    With the rapid developments in VLSI technology, the communication channels in networks-on-chip (NoCs) can place many wires for sustaining...

    Biswajit Bhowmik in SN Computer Science
    Article 30 August 2023
  18. Cycle-accurate multi-FPGA platform for accelerated emulation of large on-chip networks

    On-chip networks (NoCs) have become a popular choice for designing large multiprocessor architectures. Software-based emulation is often used to...

    Aleksandr Y. Romanov, Anatoly Lerner, Aleksandr A. Amerikanov in The Journal of Supercomputing
    Article 23 June 2024
  19. Chip design with machine learning: a survey from algorithm perspective

    Chip design with machine learning (ML) has been widely explored to achieve better designs, lower runtime costs, and no human-in-the-loop process....

    Wenkai He, **aqing Li, ... Yunji Chen in Science China Information Sciences
    Article 19 October 2023
  20. Detection and location of mount chip and solder joint based on machine vision

    To realize the automated welding of mounted chips and solve the problems of low efficiency and poor yield rate of manual welding, the detection and...

    Dongjie Li, Xuening Guo, ... Fuyue Zhang in Signal, Image and Video Processing
    Article 23 May 2024
Did you find what you were looking for? Share feedback.