Oblivious RAM-Based Secure Processors

  • Living reference work entry
  • First Online:
Encyclopedia of Cryptography, Security and Privacy
  • 6 Accesses

Definitions

Processors that use oblivious RAM algorithms to hide its access pattern to untrusted storage devices.

Background

A secure processor typically refers to a small piece of trusted hardware that serves as the trusted computing base for processing private and sensitive data. From a security perspective, it is desirable to make the trusted computing base as small as possible, preferably a single-chip processor. In this paradigm, the main memory and disk are untrusted, and trusted single-chip secure processors or secure enclaves (Intel, 2013) need to use an oblivious RAM (ORAM) algorithm to hide its access pattern to these untrusted external storage components.

Recent Results

Path ORAM (Stefanov et al., 2013, 2018) is the most embraced choice in secure processor designs due to its concretely efficiency, small client storage, and, perhaps most importantly, its conceptual simplicity. The Ascend secure processor (Fletcher et al., 2012; Ren et al., 2019), the Phantom secure processor...

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

References

  • Cao D, Zhang M, Lu H, Ye X, Fan D, Che Y, Wang R (2021) Streamline ring ORAM accesses through spatial and temporal optimization. In: 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE, pp 14–25

    Google Scholar 

  • Che Y, Hong Y, Wang R (2019) Imbalance-aware scheduler for fast and secure ring oram data retrieval. In: 2019 IEEE 37th International Conference on Computer Design (ICCD). IEEE, pp 604–612

    Google Scholar 

  • Chen H, Chillotti I, Ren L (2019) Onion ring ORAM: efficient constant bandwidth oblivious RAM from (leveled) TFHE. In: Proceedings of the 2019 ACM SIGSAC Conference on Computer and Communications Security, pp 345–360

    Google Scholar 

  • Darais D, Liu C, Sweet I, Hicks M (2017) A language for probabilistically oblivious computation. CoRR abs/1711.09305, http://arxiv.org/abs/1711.09305, 1711.09305

  • Dautrich JL Jr, Stefanov E, Shi E (2014) Burst ORAM: minimizing ORAM response times for bursty access patterns. In: USENIX Security Symposium, USENIX Association, pp 749–764

    Google Scholar 

  • Devadas S, van Dijk M, Fletcher C, Ren L, Shi E, Wichs D (2016) Onion ORAM: a constant bandwidth blowup oblivious RAM. In: Theory of Cryptography Conference. Springer, pp 145–174

    MATH  Google Scholar 

  • Fletcher C, Dijk Mv, Devadas S (2012) A secure processor architecture for encrypted computation on untrusted programs. In: Proceedings of the Seventh ACM Workshop on Scalable Trusted Computing. ACM, pp 3–8

    Google Scholar 

  • Fletcher C, Ren L, Kwon A, van Dijk M, Devadas S (2015a) Freecursive ORAM: [nearly] free recursion and integrity verification for position-based oblivious RAM. In: ACM SIGARCH Computer Architecture News. ACM, vol 43, pp 103–116

    Google Scholar 

  • Fletcher C, Ren L, Kwon A, Van Dijk M, Stefanov E, Serpanos D, Devadas S (2015b) A low-latency, low-area hardware oblivious RAM controller. In: IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2015. IEEE, pp 215–222

    Google Scholar 

  • Intel (2013) Intel software guard extensions programming reference. www.software.intel.com/sites/ default/files/329298-001.pdf

  • Liu C, Hicks M, Shi E (2013) Memory trace oblivious program execution. In: Proceedings of the 2013 IEEE 26th Computer Security Foundations Symposium, CSF’13, pp 51–65

    Google Scholar 

  • Liu C, Huang Y, Shi E, Katz J, Hicks M (2014) Automating efficient RAM-model secure computation. In: IEEE Symposium on Security and Privacy. IEEE, pp 623–638

    Google Scholar 

  • Liu C, Harris A, Maas M, Hicks M, Tiwari M, Shi E (2015a) Ghostrider: a hardware-software system for memory trace oblivious computation. ACM SIGPLAN Notices 50(4):87–101

    Article  Google Scholar 

  • Liu C, Wang XS, Nayak K, Huang Y, Shi E (2015b) ObliVM: a programming framework for secure computation. In: IEEE Symposium on Security and Privacy. IEEE, pp 359–376

    Google Scholar 

  • Maas M, Love E, Stefanov E, Tiwari M, Shi E, Asanovic K, Kubiatowicz J, Song D (2013) PHANTOM: Practical oblivious computation in a secure processor. In: Proceedings of the 2013 ACM SIGSAC Conference on Computer & Communications Security. ACM, pp 311–324

    Google Scholar 

  • Mayberry T, Blass EO, Chan AH (2014) Efficient private file retrieval by combining ORAM and PIR. In: Network and distributed system security

    Book  Google Scholar 

  • Mishra P, Poddar R, Chen J, Chiesa A, Popa RA (2018) Oblix: an efficient oblivious search index. In: IEEE Symposium on Security and Privacy. IEEE, pp 279–296

    Google Scholar 

  • Nagarajan C, Shafiee A, Balasubramonian R, Tiwari M (2019) ρ: relaxed hierarchical ORAM. In: Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, pp 659–671

    Google Scholar 

  • Omar H, Haider SK, Ren L, van Dijk M, Khan O (2018) Breaking the oblivious RAM bandwidth wall. In: The 36th IEEE International Conference on Computer Design. IEEE

    Google Scholar 

  • Ren L, Fletcher C, Kwon A, Stefanov E, Shi E, Van Dijk M, Devadas S (2015) Constants count: practical improvements to oblivious RAM. In: USENIX Security Symposium, pp 415–430

    Google Scholar 

  • Ren L, Fletcher C, Kwon A, van Dijk M, Devadas S (2019) Design and implementation of the ascend secure processor. IEEE Trans Dependable Secure Comput 16(2):204–216

    Article  Google Scholar 

  • Sasy S, Gorbunov S, Fletcher C (2018) Zerotrace: oblivious memory primitives from intel SGX. In: Network and distributed system security

    Google Scholar 

  • Stefanov E, Van Dijk M, Shi E, Fletcher C, Ren L, Yu X, Devadas S (2013) Path ORAM: an extremely simple oblivious RAM protocol. In: Proceedings of the 2013 ACM SIGSAC Conference on Computer & Communications Security. ACM, pp 299–310

    Google Scholar 

  • Stefanov E, Dijk MV, Shi E, Chan THH, Fletcher C, Ren L, Yu X, Devadas S (2018) Path ORAM: an extremely simple oblivious ram protocol. J ACM 65(4):18:1–18:26

    Google Scholar 

  • Wang R, Zhang Y, Yang J (2017) Cooperative Path-ORAM for effective memory bandwidth sharing in server settings. In: 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, pp 325–336

    Google Scholar 

  • Yu J, Hsiung L, Hajj ME, Fletcher C (2019) Data oblivious ISA extensions for side channel-resistant and high performance computing. In: NDSS

    Book  Google Scholar 

  • Yu J, Hsiung L, El Hajj M, Fletcher CW (2020) Creating foundations for secure microarchitectures with data-oblivious ISA extensions. IEEE Micro 40(3):99–107

    Article  Google Scholar 

  • Yu X, Haider SK, Ren L, Fletcher C, Kwon A, van Dijk M, Devadas S (2015) PrORAM: dynamic prefetcher for oblivious ram. In: 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA). IEEE, pp 616–628

    Google Scholar 

  • Zhang X, Sun G, Zhang C, Zhang W, Liang Y, Wang T, Chen Y, Di J (2015) Fork path: improving efficiency of ORAM by removing redundant memory accesses. In: Proceedings of the 48th International Symposium on Microarchitecture. ACM, pp 102–114

    Google Scholar 

  • Zhang X, Sun G, **e P, Zhang C, Liu Y, Wei L, Xu Q, Xue CJ (2018) Shadow block: accelerating ORAM accesses with data duplication. In: 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, pp 961–973

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ling Ren .

Editor information

Editors and Affiliations

Section Editor information

Rights and permissions

Reprints and permissions

Copyright information

© 2023 Springer Science+Business Media LLC

About this entry

Check for updates. Verify currency and authenticity via CrossMark

Cite this entry

Ren, L. (2023). Oblivious RAM-Based Secure Processors. In: Jajodia, S., Samarati, P., Yung, M. (eds) Encyclopedia of Cryptography, Security and Privacy. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-27739-9_1553-1

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-27739-9_1553-1

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-27739-9

  • Online ISBN: 978-3-642-27739-9

  • eBook Packages: Springer Reference Computer SciencesReference Module Computer Science and Engineering

Publish with us

Policies and ethics

Navigation