Lanthanide-Based High-k Gate Dielectric Materials

  • Chapter
  • First Online:
High Permittivity Gate Dielectric Materials

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 43))

Abstract

This chapter covers selected issues related to lanthanides (or lanthanoids) used in oxide gate dielectrics. In general, lanthanides offer key material property advantages for gate dielectric applications. These include high dielectric constants, stable amorphous silicate (or ternary) phases, thermodynamic stability with Si, interface properties allowing threshold voltage tuning, and crystalline properties making possible epitaxial growth on Si and other semiconductors. Although not as heavily researched as Hf-based materials, lanthanide materials continue to hold promise for device scaling on Si, and as dielectrics on other high-mobility semiconductors.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. A.I. Kingon, J.-P. Maria, S.K. Streiffer, Alternative dielectrics to silicon dioxide for memory and logic devices. Nature 406, 1032 (2000)

    Article  Google Scholar 

  2. D.G. Schlom, J.H. Haeni, A thermodynamic approach to selecting alternative gate dielectrics. MRS Bull. 27(3), 198 (2002)

    Article  Google Scholar 

  3. J. Robertson, Electronic structure and band offsets of high-dielectric-constant gate oxides. MRS Bull. 27(3), 217 (2002)

    Article  Google Scholar 

  4. H.N. Alshareef, H.R. Harris, H.C. Wen, C.S. Park, C. Huffman, K. Choi, H.F. Luan, P. Majhi, B.H. Lee, R. Jammy, D.J. Lichtenwalner, J.S. Jur, A.I. Kingon, Thermally stable N-metal gate MOSFETs using La-incorporated HfSiO dielectric, IEEE Symp. on VLSI Technology (2006), pp. 7–8

    Google Scholar 

  5. M. Passlack, Methodology for development of High-κ stacked gate dielectrics on III-V semiconductors, materials fundamentals of gate dielectrics, ed. by A.A. Demkov, A. Navrotsky (Springer, 2005), pp. 403–467

    Google Scholar 

  6. G.-Y. Adachi, N. Imanaka, The binary rare earth oxides. Chem. Rev. 98, 1479–1514 (1998)

    Article  Google Scholar 

  7. S. Bernal, G. Blanco, J.J. Calvino, J.A. Perez Omil, J.M. Pintado, Some major aspects of the chemical behavior of rare earth oxides: An overview. J. Alloy. Compd. 408–412, 496–502 (2006)

    Article  Google Scholar 

  8. G. Scarel, A. Svane, M. Fanciulli, Scientific and technological issues related to rare earth oxides: An Introduction, eds. by M. Fanciulli, G. Scarel. Rare earth oxide thin films, topics applied Physics (Heidelberg, 2007), 106:1–14

    Google Scholar 

  9. T. Gougousi, G.N. Parsons, Postdeposition reactivity of sputter-deposited high-dielectric-constant films with ambient H2O and carbon-containing species. J. Appl. Phys. 95(3), 1391 (2004)

    Article  Google Scholar 

  10. D.J. Lichtenwalner, J.S. Jur, S. Novak, V. Misra, A.I. Kingon, Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. ECS Trans. 3(3), 245 (2006)

    Article  Google Scholar 

  11. Y. Zhao, M. Toyama, K. Kita, K. Kyuno, A. Toriumi, Moisture-absorption-induced permittivity deterioration and surface roughness enhancement of lanthanum oxide films on silicon. Appl. Phys. Lett. 88, 072904 (2006)

    Article  Google Scholar 

  12. T. Schroeder, T.-L. Lee, L. Libralesso, I. Joumard, J. Zegenhagen, P. Zaumseil, C. Wenger, G. Lupina, G. Lippert, J. Dabrowski, H.-J. Müssig, Structure and strain relaxation mechanisms of ultrathin epitaxial Pr2O3 films on Si (111). J. Appl. Phys. 97, 074906 (2005)

    Article  Google Scholar 

  13. L. Marsella, V. Fiorentini, Structure and stability of rare-earth and transition-metal oxides. Phys. Rev. B 69, 172103 (2004)

    Article  Google Scholar 

  14. J. Paivasaari, M. Putkonen, L. Niinisto, A comparative study on lanthanide oxide thin films grown by atomic layer deposition. Thin Solid Films 472, 275–281 (2005)

    Article  Google Scholar 

  15. I.A. Bondar, Rare-earth silicates. Ceram. Int. 8(3), 83 (1982)

    Article  Google Scholar 

  16. J–.J. Liang, A. Navrotsky, T. Ludwig, H.J. Seifert, F. Aldinger, Enthalpy of formation of rare-earth silicates Y2SiO5 and Yb2SiO5 and N-containing silicate Y10(SiO4) 6N2. J. Mater. Res. 14(4), 1181–1185 (1999)

    Article  Google Scholar 

  17. P.D. Kirsch, M.A. Quevedo-Lopez, S.A. Krishnan, B.H. Lee, G. Pant, M.J. Kim, R.M. Wallace, B.E. Gnade, Mobility and charge trap** comparison for crystalline and amorphous HfON and HfSiON gate dielectrics. Appl. Phys. Lett. 89, 242909 (2006)

    Article  Google Scholar 

  18. J.-P. Maria, D. Wickaksana, J. Parrette, A.I. Kingon, Crystallization in SiO2–metal oxide alloys. J. Mater. Res. 17, 1571 (2002)

    Article  Google Scholar 

  19. D.J. Lichtenwalner, J.S. Jur, A.I. Kingon, M.P. Agustin, Y. Yang, S. Stemmer, L.V. Goncharova, T. Gustafsson, E. Garfunkel, Lanthanum silicate gate dielectric stacks with sub-nanometer EOT utilizing an interfacial silica consumption reaction. J. Appl. Phys. 98(2), 4314 (2005)

    Article  Google Scholar 

  20. D Xue, K Betzler, H Hesse, Dielectric constants of binary rare-earth compounds, J. Phys.: Condens. Matter 12, 3113–3118 (2000)

    Google Scholar 

  21. H.J. Osten, M. Czernohorsky, E. Bugiel, D. Kuehne, A. Fissel, Interface engineering during epitaxial growth of high-K lanthanide oxides on silicon. Mater. Res. Soc. Symp. Proc. 917, E10–04 (2006)

    Article  Google Scholar 

  22. L. Tye, N.A. El-Masry, T. Chikyow, P. McLarty, S.M. Bedair, Electrical characteristics of epitaxial CeO2 on Si (111). Appl. Phys. Lett. 65, 3081 (1994)

    Article  Google Scholar 

  23. A. Fissel, H.J. Osten, E. Bugiel, Towards understanding epitaxial growth of alternative high-K dielectrics on Si (001): Application to praseodymium oxide. J. Vac. Sci. Technol., B 21, 1765 (2003)

    Article  Google Scholar 

  24. G. Scarel, A. Debernardi, D. Tsoutsou, S. Spiga, S.C. Capelli, L. Lamagna, S.N. Volkos, M. Alia, M. Fanciulli, Vibrational and electrical properties of hexagonal La2O3 films. Appl. Phys. Lett. 91, 102901 (2007)

    Article  Google Scholar 

  25. A.M. Herrero, B.P. Gila, C.R. Abernathy, S.J. Pearton, V. Craciun, K. Siebein, F. Ren, Epitaxial growth of Sc2O3 films on GaN. Appl. Phys. Lett. 89, 092117 (2006)

    Article  Google Scholar 

  26. S.Y. Chiam, W.K. Chim, C. Pi, A.C.H. Huan, S.J. Wang, J.S. Pan, S. Turner, J. Zhang, Band alignment of yttrium oxide on various relaxed and strained semiconductor substrates. Appl. Phys. Lett. 103, 083702 (2008)

    Google Scholar 

  27. M. Wagner, T. Heeg, J. Schubert, C. Zhao, O. Richard, M. Caymax, V.V. Afanas’ev, S. Mantl, Preparation and characterization of rare earth scandates as alternative gate oxide materials. Solid-State Electron. 50, 58–62 (2006)

    Article  Google Scholar 

  28. Y.F. Loo, S. Taylor, R.T. Murray, A.C. Jones, P.R. Chalker, Structural and electrical characterization of amorphous lanthanum hafnium oxide thin films. J. Appl. Phys. 99, 103704 (2006)

    Article  Google Scholar 

  29. R. Schwab, R. Sporl, P. Severloh, R. Heidinger, J. Halbritter, Temperature and orientation dependent dielectric measurements of LaAlO3-substrates, Appl. Supercond. 1/2. 61 (1997)

    Google Scholar 

  30. S.G. Lim, S. Kriventsov, T.N. Jackson, J.H. Haeni, D.G. Schlom, A.M. Balbashov, R. Uecker, P. Reiche, J.L. Freeouf, G. Lucovsky, Dielectric functions and optical bandgaps of high-K dielectrics for metal-oxide-semiconductor field-effect transistors by far ultraviolet spectroscopic ellipsometry. J. Appl. Phys. 91, 4500 (2002)

    Article  Google Scholar 

  31. L.F. Edge, D.G. Schlom, P. Sivasubramani, R.M. Wallace, B. Holländer, J. Schubert, Electrical characterization of amorphous lanthanum aluminate thin films grown by molecular-beam deposition on silicon. Appl. Phys. Lett. 88, 112907 (2006)

    Article  Google Scholar 

  32. J.M.J. Lopes, M. Roeckerath, T. Heeg, E. Rije, J. Schubert, S. Mantl, V.V. Afanas’ev, S. Shamuilia, A. Stesmans, Y. Jia, D.G. Schlom, Amorphous lanthanum lutetium oxide thin films as an alternative high-K gate dielectric. Appl. Phys. Lett. 89, 222902 (2006)

    Article  Google Scholar 

  33. K.L. Ovanesyan, A.G. Petrosyan, G.O. Shirinyan, C. Pedrini, L. Zhang, Single crystal growth and characterization of LaLuO3. Opt. Mater. 10, 291–295 (1998)

    Article  Google Scholar 

  34. M. Leskelä, K. Kukli, M. Ritala, Rare-earth oxide thin films for gate dielectrics in microelectronics. J. Alloys Compd. 418, 27–34 (2006)

    Article  Google Scholar 

  35. M.F. Vignolo, S. Duhalde, M. Bormioli, G. Quintana, M. Cervera, J. Tocho, Structural and electrical properties of lanthanum oxide thin films deposited by laser ablation. Appl. Surface Sci. 197–198, 522–526 (2002)

    Article  Google Scholar 

  36. Y.H. Wu, M.Y. Yang, A. Chin, Senior Member, IEEE, W.J. Chen, C.M. Kwei, Electrical characteristics of high quality La2O3 gate dielectric with equivalent oxide thickness of 5 Ã…, IEEE Electron Dev. Lett., 21(7), 341 (2000)

    Google Scholar 

  37. M. Copel, E. Cartier, F.M. Ross, Formation of a stratified lanthanum silicate dielectric by reaction with Si (001). Appl. Phys. Lett. 78(11), 1607 (2001)

    Article  Google Scholar 

  38. E. Graper, (2009), Thin Film Evaporation Guide. http://www.tfi-telemark.com/telemrk/cat_evapguide.htm. Accessed Jan 4 2009

  39. H. Watanabe, N. Ikarashi, F. Ito, La–silicate gate dielectrics fabricated by solid phase reaction between La metal and SiO2 underlayers. Appl. Phys. Lett. 83(17), 3546–3549 (2003)

    Article  Google Scholar 

  40. H.C. Aspinall, J.F. Bickley, J.M. Gaskell, A.C. Jones, G. Labat, P.R. Chalker, P.A. Williams, Precursors for MOCVD and ALD of rare earth oxides-complexes of the early lanthanides with a donor-functionalized alkoxide ligand. Inorg. Chem. 46(15), 5852–5860 (2007)

    Article  Google Scholar 

  41. S. Kamiyama, T. Miura, E. Kurosawa, M. Kitajima, M. Ootuka, T. Aoyama, Y. Nara, Band edge gate first HfSiON/metal gate n-MOSFETs using ALD-La2O3 cap layers scalable to EOT = 0.68 nm for hp 32 nm bulk devices with high performance and reliability, IEEE Inter. Electron Devices Meeting (IEDM). 539–542 (2007)

    Google Scholar 

  42. S. Schamm, P.E. Coulon, S. Miao, S.N. Volkos, L.H. Lu, L. Lamagna, C. Wiemer, D. Tsoutsou, G. Scarel, M. Fanciulli, Chemical/Structural nanocharacterization and electrical properties of ALD-grown La2O3/Si interfaces for advanced gate stacks. J. Electrochem. Soc. 156, H1 (2009)

    Article  Google Scholar 

  43. J. Niinisto, N. Petrova, M. Putkonen, L. Niinisto, K. Arstila, T. Sajavaar, Gadolinium oxide thin films by atomic layer deposition. J. Cryst. Growth 285, 191–200 (2005)

    Article  Google Scholar 

  44. A. Kuriyama, S.-I. Ohmi, K. Tsutsui, H. Iwai, Effect of post-metallization annealing on electrical characteristics of La2O3 gate thin films. Jpn. J. Appl. Phys. 44(2), 1045–1051 (2005)

    Article  Google Scholar 

  45. N. Inoue, D.J. Lichtenwalner, J.S. Jur, A.I. Kingon, Analysis of interface states in LaSixOy metal–insulator–semiconductor structures, Jpn. J. Appl. Phys. 46(10A), 6480–6488 (2007)

    Google Scholar 

  46. G. Lucovsky, J.-P. Maria, J.C. Phillips, Interfacial strain-induced self-organization in semiconductor dielectric gate stacks. II. Strain-relief at internal dielectric interfaces between SiO2 and alternative gate dielectrics. J. Vac. Sci. Technol. B22, 2097 (2004)

    Google Scholar 

  47. J.S. Jur, D.J. Lichtenwalner, A.I. Kingon, Processing impact on electrical properties of lanthanum silicate thin films. Mater. Res. Soc. Sym. Proc. 917, E10–03 (2006)

    Article  Google Scholar 

  48. P.K. Hurley, K. Cherkaoui, S. McDonnell, G. Hughes, A.W. Groenland, Characterisation and passivation of interface defects in (100)-Si/SiO2/HfO2/TiN gate stacks. Microelectronics Reliab. 47, 1195–1201 (2007)

    Article  Google Scholar 

  49. D.J. Lichtenwalner, J.S. Jur, N. Inoue, A.I. Kingon, Overview of materials processing and properties of lanthanum-based high-κ dielectrics. ECS Trans. 11(4), 319 (2007)

    Article  Google Scholar 

  50. T.P. Ma, X.W. Wang, L. Song, H. Bu, Charge trap**—a major reliability challenge for High-κ gate dielectrics. ECS Trans. 1(5), 733 (2006)

    Article  Google Scholar 

  51. J.S. Jur, D.J. Lichtenwalner, A.I. Kingon, High temperature stability of lanthanum silicate dielectric on Si (001). Appl. Phys. Lett. 90, 102908 (2007)

    Article  Google Scholar 

  52. T. Heeg, J. Schubert, C. Buchal, E. Cicerrella, J.L. Freeouf, W. Tian, Y. Jia, D.G. Schlom, Growth and properties of epitaxial rare-earth scandate thin films. Appl. Phys. A 83, 103–106 (2006)

    Article  Google Scholar 

  53. J.M.J. Lopes, U. Littmark, M. Roeckerath, S.T. Lenk, J. Schubert, S. Mantl, A. Besmehn, Effects of annealing on the electrical and interfacial properties of amorphous lanthanum scandate high-κ films prepared by molecular beam deposition. J. Appl. Phys. 101, 104109 (2007)

    Article  Google Scholar 

  54. V.V. Afanas’ev, A. Stesmans, C. Zhao, M.Caymax, T. Heeg, J. Schubert, et al., Band alignment between (100) Si and complex rare earth/transition metal oxides, Appl. Phys. Lett. 85(24) (2004)

    Google Scholar 

  55. P. Sivasubramani, J. Kim, B.E. Gnade, R.M. Wallace, L.F. Edge, D.G. Schlom, H.S. Craft, J.-P. Maria, Outdiffusion of La and Al from amorphous LaAlO3 in direct contact with Si (100). Appl. Phys. Lett. 86, 201901 (2005)

    Article  Google Scholar 

  56. B. Lee, D.J. Lichtenwalner, M. Agustin, R. Arghavani, X. Tang, S. Gandikota, V. Ku, V. Misra, Investigation of VT shift mechanisms of High-K dielectrics caused by lanthanum cap** for NMOS and tantalum cap** for PMOS devices. ECS Trans. 13(1), 123 (2008)

    Article  Google Scholar 

  57. P. Sivasubramani, J. Kim, M.J. Kim, B.E. Gnade, R.M. Wallace, Effect of nitrogen incorporation on the thermal stability of sputter deposited lanthanum aluminate dielectrics on Si (100). Appl. Phys. Lett. 89, 152903 (2006)

    Article  Google Scholar 

  58. T. Wang, J.G. Ekerdt, Atomic layer deposition of lanthanum stabilized amorphous hafnium oxide thin films. Chem. Mater. 21, 3096–3101 (2009)

    Article  Google Scholar 

  59. Z.M. Rittersma, J.C. Hooker, G. Vellianitis, J.-P. Locquet, C. Marchiori, M. Sousa, J. Fompeyrine, L. Pantisano, W. Deweerd, T. Schram, M. Rosmeulen, S. De Gendt, A. Dimoulas, Characterization of field-effect transistors with La2Hf2O7 and HfO2 gate dielectric layers deposited by molecular-beam epitaxy. J. Appl. Phys. 99, 024508 (2006)

    Article  Google Scholar 

  60. K. Choi, H. Jagannathan, C. Choi, L. Edge, T. Ando, M. Frank, P. Jamison, M. Wang, E. Cartier, S. Zafar, J. Bruley, A. Kerber, B. Linder, A. Callegari, Q. Yang, S. Brown, J. Stathis, J. Iacoponi, V. Paruchuri, V. Narayanan, Extremely scaled gate-first High-k/metal gate Stack with EOT of 0.55 nm using novel interfacial layer scavenging techniques for 22 nm technology node and beyond, IEEE Sym. on VLSI Technology (2009), 138

    Google Scholar 

  61. X.P. Wang, M.-F. Li, C. Ren, X.F. Yu, C. Shen, H.H. Ma, A. Chin, C.X. Zhu, J. Ning, M.B. Yu, D.-L. Kwong, Tuning effective metal gate work function by a novel gate dielectric HfLaO for nMOSFETs. IEEE Electron. Device Lett. 27(1), 31 (2006)

    Article  MATH  Google Scholar 

  62. V. Narayanan, V.K. Paruchuri, N.A. Bojarczuk, B.P. Linder, B. Doris, Y.H. Kim, S. Zafar, J. Stathis, S. Brown, J.Arnold, M. Copel, M. Steen, E. Cartier, A. Callegari, P. Jamison, J.-P. Locquet, D.L. Lacey, Y. Wang, P.E. Batson, P. Ronsheim, R. Jammy, M.P. Chudzik, M. Ieong, S. Guha, G. Shahidi, T.C. Chen, Band-Edge High-Performance High-κ/Metal Gate n-MOSFETs using cap layers containing group IIA and IIIB elements with gate-first processing for 45 nm and beyond, IEEE Symp on VLSI Technology (2006), pp. 178–179

    Google Scholar 

  63. H.R. Harris, H. Alshareef, H.C. Wen, S. Krishnan, K. Choi, H. Luan, D. Heh, C.S. Park, H.B. Park, M. Hussain, B.S. Ju, P.D. Kirsch, S.C. Song, P. Majhi, B.H. Lee, R. Jammy, Simplified manufacturable band edge metal gate solution for NMOS without a cap** Layer, IEEE Inter. Electron Devices Meeting (IEDM) (2006), pp. 1–4

    Google Scholar 

  64. P.D. Kirsch, M.A. Quevedo-Lopez, S.A. Krishnan, C. Krug, H. AlShareef, C.S. Park, H.R. Harris, N. Moumen, A. Neugroschel, G. Bersuker, B.H. Lee, J.G. Wang, G. Pant, B.E. Gnade, M.J. Kim, R.M. Wallace, J.S. Jur, D.J. Lichtenwalner, A.I. Kingon, R. Jammy, Band Edge n-MOSFETs with High-k/metal gate stacks scaled to EOT = 0.9 nm with excellent carrier mobility and high temperature stability, IEEE Inter. Elec. Dev. Mtg. (IEDM) (2006), pp. 1–4

    Google Scholar 

  65. C.Y. Kang, C.D. Young, J. Huang, P.D. Kirsch, D. Heh, P. Sivasubramani, H.K. Park, G. Bersuker, B.H. Lee, H.S. Choi, K.T. Lee, Y.-H. Jeong, D.J. Lichtenwalner, A.I. Kingon, H.-H. Tseng, R. Jammy, The Impact of La-do** on the reliability of low VT High-k/Metal gate nMOSFETs under various gate stress conditions, IEEE Inter. Elec. Dev. Mtg. (IEDM) (2008), pp. 1–4

    Google Scholar 

  66. C. Ren, D.S.H. Chan, M.-F. Li, W.-Y. Loh, S. Balakumar, C.H. Tung, N. Balasubramanian, D.-L. Kwong, Work function tuning and material characteristics of lanthanide-incorporated metal nitride gate electrodes for NMOS device applications. IEEE Trans. Electron. Dev. 53, 1877 (2006)

    Article  Google Scholar 

  67. P.D. Kirsch, P. Sivasubramani, J. Huang, C.D. Young, M.A. Quevedo-Lopez, H.C. Wen, H. Alshareef, K. Choi, C.S. Park, K. Freeman, M.M. Hussain, G. Bersuker, H.R. Harris, P. Majhi, R. Choi, P. Lysaght, B.H. Lee, H–.H. Tseng, R. Jammy, T.S. Böscke, D.J. Lichtenwalner, J.S. Jur, A.I. Kingon, Dipole model explaining high-k/metal gate field effect transistor threshold voltage tuning. Appl. Phys. Lett. 92, 092901 (2008)

    Article  Google Scholar 

  68. K. Kita, A. Toriumi, Origin of electric dipoles formed at high-k/SiO2 interface. Appl. Phys. Lett. 94, 132902 (2009)

    Article  Google Scholar 

  69. Y. Yamamoto, K. Kita, K. Kyuno, A. Toriumi, Study of La-induced flat band voltage shift in metal/HfLaOx/SiO2/Si capacitors. Jpn. J. Appl. Phys. 46, 7251 (2007)

    Article  Google Scholar 

  70. B.M. Lee, D.J. Lichtenwalner, V. Misra, Unpublished research results

    Google Scholar 

  71. J. Robertson, B. Falabretti, Band offsets of high κ gate oxides on high mobility semiconductors. Mater. Sci. Eng., B 135, 267–271 (2006)

    Article  Google Scholar 

  72. N.G. Wright, C.M. Johnson, A.G. O’Neill, Sulphur based surface passivation for high voltage GaAs Schottky diodes. Solid State Electron. 42, 437 (1998)

    Article  Google Scholar 

  73. M.V. Lebedev, T. Mayer, W. Jaegermann, Sulfur adsorption at GaAs (1 0 0) from solution: role of the solvent in surface chemistry. Surf. Sci. 547, 171–183 (2003)

    Article  Google Scholar 

  74. S. Oktyabrsky, V. Tokranov, M. Yakimov, R. Moore, S. Koveshnikov, W. Tsai, F. Zhu, J.C. Lee, High-k gate stack on GaAs and InGaAs using in situ passivation with amorphous silicon. Mater. Sci. Eng., B 135, 272–276 (2006)

    Article  Google Scholar 

  75. D.J. Lichtenwalner, R. Suri, V. Misra, Effect of GaAs surface treatments on lanthanum silicate high-K dielectric gate stack properties. Mat. Res. Soc. Symp. Proc. 1073, H06–04 (2008)

    Article  Google Scholar 

  76. J.-K. Yang, W.S. Kim, H–.H. Park, Chemical bonding states and energy band gap of SiO2-incorporated La2O3 films on n-GaAs (001). Thin Solid Films 494, 311–314 (2006)

    Article  Google Scholar 

  77. R. Suri, D.J. Lichtenwalner, V. Misra, Impact of elemental arsenic on electrical characteristics of metal-oxide-semiconductor capacitors on GaAs using atomic-layer deposited HfO2 gate dielectric. Appl. Phys. Lett. 92, 243506 (2008)

    Article  Google Scholar 

  78. R.M. Wallace, P.C. McIntyre, J. Kim, Y. Nishi, Atomic layer deposition of dielectrics on Ge and III–V materials for ultrahigh performance transistors. MRS Bull. 34, 493 (2009)

    Article  Google Scholar 

  79. R. Vos, S. Arnauts, I. Bovie, B. Onsia, S. Garaud, K. Xu, Y. HongYu, S. Kubicek, E. Rohr, T. Schram, A. Veloso, T. Conard, L.H.A. Leunissen, P.W. Mertens, Challenges with respect to high-κ/metal gate stack etching and cleaning. ECS Trans. 11(4), 275 (2007)

    Article  Google Scholar 

  80. T. Schram, S. Kubicek, E. Rohr, S. Brus, C. Vrancken, S.-Z. Chang1, V.S. Chang, R. Mitsuhashi, Y. Okuno, A. Akheyar, H.-J. Cho, J.C. Hooker, V. Paraschiv, R. Vos, F. Sebai, M. Ercken, P. Kelkar, A. Delabie, C. Adelmann, T. Witters, L-A. Ragnarsson, C. Kerner, T. Chiarella, M. Aoulaiche, Moon-Ju Cho, T. Kauerauf, K.De Meyer, A. Lauwers, T. Hoffmann, P.P. Absil, S. Biesemans, Novel Process to pattern selectively dual dielectric cap** layers using soft-mask only, IEEE Symp on VLSI Technology (2008), pp. 44–45

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Daniel J. Lichtenwalner .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Lichtenwalner, D.J. (2013). Lanthanide-Based High-k Gate Dielectric Materials. In: Kar, S. (eds) High Permittivity Gate Dielectric Materials. Springer Series in Advanced Microelectronics, vol 43. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-36535-5_9

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-36535-5_9

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-36534-8

  • Online ISBN: 978-3-642-36535-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics

Navigation