Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 31))

  • 1846 Accesses

Abstract

The design solution described in Chap. 5 will help the minimum operating voltage (VDDmin) of a general 6T single-port SRAM. However, it will eventually face the limitation of the SRAM VDDmin because of the degradation of the SRAM stability due to an increase in the local V th variation. In this chapter, first some alternative 6T single-port SRAM cells to enhance the SRAM stability are introduced in Sect. 7.1.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
EUR 29.95
Price includes VAT (Germany)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
EUR 85.59
Price includes VAT (Germany)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
EUR 106.99
Price includes VAT (Germany)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info
Hardcover Book
EUR 106.99
Price includes VAT (Germany)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free ship** worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, H. Kobatake, A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications. IEEE J. Solid-State Circuits 41(1), 113–121 (2006)

    Article  Google Scholar 

  2. Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, M. Yoshimoto, An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment. in IEEE VLSI Circuits Symposium Digest. June 2007, pp. 256–257

    Google Scholar 

  3. H. Noguchi, Y. Iguchi, H. Fujiwara, Y. Morita, K. Nii, H. Kawaguchi, M. Yoshimoto, A 10T non-precharge two-port SRAM for 74% power reduction in video processing. in Proceedings of the IEEE Computer Society Annual Symposium VLSI (ISVLSI). March 2007, pp. 107–112

    Google Scholar 

  4. B. Cheng, S. Roy, A. Asenov, The scalability of 8T-SRAM cells under the influence of intrinsic parameter fluctuations. in Proceedings of the IEEE European Solid-State Circuits Conference (ESSCIRC). September 2007, pp. 93–96

    Google Scholar 

  5. Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, M. Yoshimoto, Area comparison between 6T and 8T SRAM cells in dual-Vdd scheme and DVS scheme. IEICE Trans. Fundam. E90-A(12), 2695–2702 (2007)

    Google Scholar 

  6. Y. Morita, R. Tsuchiya, T. Ishigaki, N. Sugii, T. Iwamatsu, T. Ipposhi, H. Oda, Y. Inoue, K. Torii, S. Kimura, Smallest Vth variability achieved by intrinsic silicon on thin BOX (SOTB) CMOS with single metal gate. in IEEE VLSI Technology Symposium 2008, Digest of Technical Papers. June 2008, pp. 166–167

    Google Scholar 

  7. K. Noda, K. Matsui, K. Imai, K. Inoue, K. Tokashiki, H. Kawamoto, K. Yoshida, K. Takeda, N. Nakamura, T. Kimura, H. Toyoshima, Y. Koishikawa, S. Maruyama, T. Saitoh, T. Tanigawa, A 1. 9 − μm2 loadless CMOS four-transistor SRAM cell in a 0. 18 − μm logic technology. inIEEE IEDM Technical Digest. December 1998, pp. 643–646

    Google Scholar 

  8. D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, E. Anderson, T.-J. King, J. Bokor, C. Hu, FinFET–A self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans. Electron Devices 47, 2320–2325 (2000)

    Article  Google Scholar 

  9. A. Nackaerts, M. Ercken, S. Demuynck, A. Lauwers, C. Baerts, H. Bender, W. Boulaert, N. Collaert, B. Degroote, C. Delvaux, J.F. de Marneffe, A. Dixit, K. De Meyer, E. Hendrickx, N. Heylen, P. Jaenen, D. Laidler, S. Locorotondo, M. Maenhoudt, M. Moelants, I. Pollentier, K. Ronse, R. Rooyackers, J. Van Aelst, G. Vandenberghe, W. Vandervorst, T. Vandeweyer, S. Vanhaelemeersch, M. Van Hove, J. Van Olmen, S. Verhaegen, J. Versluijs, C. Vrancken, V. Wiaux, M. Jurczak, S. Biesemans, A 0. 314μm2 6T-SRAM cell build with tall triple-gate devices for 45nm node applications using 0.75NA 193nm lithography. IEDM Tech. Dig. 269–272 (2004)

    Google Scholar 

  10. H. Kawasaki, K. Okano, A. Kaneko, A. Yagishita, T. Izumida, T. Kanemura, K. Kasai, T. Ishida, T. Sasaki, Y. Takeyama, N. Aoki, N. Ohtsuka, K. Suguro, K. Eguchi, Y. Tsunashima, S. Inaba, K. Ishimaru, H. Ishiuchi, Embedded bulk FinFET SRAM cell technology with planar FET peripheral circuit for hp32 nm node and beyond. in VLSI Technology, Digest of Technical Papers. 2006 Symposium on 2006, pp. 70–71

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Koji Nii .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Nii, K., Yamaoka, M. (2011). Future Technologies. In: Ishibashi, K., Osada, K. (eds) Low Power and Reliable SRAM Memory Cell and Array Design. Springer Series in Advanced Microelectronics, vol 31. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-19568-6_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-19568-6_7

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-19567-9

  • Online ISBN: 978-3-642-19568-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics

Navigation