Log in

Hardware Trojans Detection and Prevention Techniques Review

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

Technological developments in semiconductors have created previously unheard-of chances for creativity, but they have also increased the danger of hardware Trojans, which are malevolent modifications introduced into integrated circuits (ICs) during the design or production phases. This research review addresses the changing landscape of threats and responses by examining the most recent advancements and trends in hardware trojan detection and prevention approaches. Proactive protections against Trojan insertion and dissemination include methods like cryptographic primitives, trust verification protocols, and hardware obfuscation. The field of detection approaches has expanded to include a multi-layered approach that integrates emerging technologies like artificial intelligence and machine learning with more established methods like testing and design-time analysis. Furthermore, it is possible to improve resistance to Trojan assaults while reducing performance overhead by incorporating hardware security features like physically unclonable functions and secure compartments directly into the IC architecture. Moreover, various prevention algorithms, detecting challenges and effects of the HT in recent applications are summarized with its solutions.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Price includes VAT (Germany)

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

Data Availability

Enquiries about data availability should be directed to the authors.

References

  1. Dong, C., Xu, Y., Liu, X., Zhang, F., He, G., & Chen, Y. (2020). Hardware Trojans in chips: a survey for detection and prevention. Sensors (Basel, Switzerland), 20(5165), 1–37. https://doi.org/10.3390/s20185165

    Article  Google Scholar 

  2. **, Y. (2015). Introduction to hardware security. Electronics, 4, 763–784. https://doi.org/10.3390/electronics4040763

    Article  Google Scholar 

  3. Liu, Y., **, Y., Nosratinia, A., & Makris, Y. (2017). silicon demonstration of hardware Trojan design and detection in wireless cryptographic ICs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25, 1506–1519. https://doi.org/10.1109/TVLSI.2016.2633348

    Article  Google Scholar 

  4. Naveenkumar, R., Sivamangai, N. M., Napolean, A., & Janani, V. (2021). A survey on recent detection methods of the hardware trojans. In 2021 3rd International conference on signal processing and communication (ICPSC) (pp. 139-143). IEEE. https://doi.org/10.1109/ICSPC51351.2021.9451682

  5. Bhunia, S., Hsiao, M. S., Banga, M., & Narasimhan, S. (2014). Hardware Trojan attacks: threat analysis and countermeasures. Proceedings of the IEEE, 102, 1229–1247. https://doi.org/10.1109/JPROC.2014.2334493

    Article  Google Scholar 

  6. Hughes, L. A., & DeLone, G. J. (2007). Viruses, worms, and Trojan horses: serious crimes, nuisance, or both? Social Science Computer Review, 25(1), 78–98. https://doi.org/10.1177/0894439306292346

    Article  Google Scholar 

  7. Rahman, M. A., Rahman, M. T., Kisacikoglu, M. C., & Akkaya, K. (2020). Intrusion detection systems-enabled power electronics for unmanned aerial vehicles. IEEE CyberPELS (CyberPELS), 2020, 1–5. https://doi.org/10.1109/CyberPELS49534.2020.9311545

    Article  Google Scholar 

  8. Hu, N., Ye, M., & Wei, S. (2019). Surviving information leakage hardware Trojan attacks using hardware isolation. IEEE Transactions on Emerging Topics in Computing, 7(2), 253–261. https://doi.org/10.1109/TETC.2017.2648739

    Article  Google Scholar 

  9. Deyati, S., Muldrey, B. J., & Chatterjee, A. (2016). Trojan detection in digital systems using current sensing of pulse propagation in logic gates. In 2016 17th International Symposium on Quality Electronic Design (ISQED) (pp. 350-355). IEEE. https://doi.org/10.1109/ISQED.2016.7479226

  10. Ahmed, N., Tehranipoor, M., & Jayaram, V. (2006). Timing-based delay test for screening small delay defects. In Proceedings of the 43rd annual Design Automation Conference (pp. 320-325). https://doi.org/10.1145/1146909.1146993

  11. Cheng, K.-T. (1993). Transition fault testing for sequential circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 12(12), 1971–1983. https://doi.org/10.1109/43.251160

    Article  Google Scholar 

  12. PCI-SIG. PCI-Express Base Specification (2009), 3. Revision 2.1

  13. Bhunia, S., MironAbramovici, D. A., Bradley, P., Hsiao, M. S., Plusquellic, J., & Tehranipoor, M. (2013). Protection against hardware Trojan attacks: towards a comprehensive solution. IEEE Design & Test, 30(3), 6–17. https://doi.org/10.1109/MDT.2012.2196252

    Article  Google Scholar 

  14. Hennessy, A., Zheng, Y., & Bhunia, S. (2016) JTAG-based robust PCB authentication for protection against counterfeiting attacks. In 2016 21st asia and south pacific design automation conference (asp-dac) (pp. 56-61). IEEE. https://doi.org/10.1109/ASPDAC.2016.7427989

  15. Dong, C., He, G. R., Liu, X. M., Yang, Y., & Guo, W. Z. (2019). A multi-layer Hardware Trojan protection framework for IoT chips. IEEE Access, 7, 23628–23639. https://doi.org/10.1109/ACCESS.2019.2896479

    Article  Google Scholar 

  16. Zhang, J., & Gong, W. (2012). Atmospheric boundary layer observations based on raman lidar. In International Photonics and Optoelectronics Meetings (POEM) 2011: Optoelectronic Sensing and Imaging (Vol. 8332, pp. 169-175). SPIE. https://doi.org/10.1117/12.914769

  17. Zhang, J., Tong, Y., Yang, X., Gong, J., & Gong, W. (2011, February). Detection of atmospheric composition based on lidar. In Journal of Physics: Conference Series (Vol. 276, No. 1, p. 012036). IOP Publishing. https://doi.org/10.1088/1742-6596/276/1/012036

  18. Rosenfeld, K., & Karri, R. (2010). Attacks and defenses for JTAG. IEEE Design & Test of Computers, 27, 36–47. https://doi.org/10.1109/MDT.2010.9

    Article  Google Scholar 

  19. JS, R., Ancajas, D. M., Chakraborty, K., & Roy, S. (2015). Runtime detection of a bandwidth denial attack from a rogue network-on-chip. In Proceedings of the 9th International Symposium on Networks-on-Chip (pp. 1-8). https://doi.org/10.1145/2786572.2786580

  20. Vosatka, J. (2018). Introduction to hardware Trojans. In S. Bhunia & M. M. Tehranipoor (Eds.), The Hardware Trojan War (pp. 15–51). Cham: Springer International Publishing AG, Ltd. https://doi.org/10.1007/978-3-319-68511-3_2

    Chapter  Google Scholar 

  21. **, Y., Maliuk, D., & Makris, Y. (2016). Hardware Trojan detection in analog/RF integrated circuits. In C. H. Chang & M. Potkonjak (Eds.), Secure System Design and Trustable Computing (pp. 241–268). Cham: Springer International Publishing Switzerland Ltd. https://doi.org/10.1007/978-3-319-14971-4_7

    Chapter  Google Scholar 

  22. He, G., Dong, C., Huang, X., Guo, W., Liu, X., & Ho, T. Y. (2020). Htcatcher: Finite state machine and feature verifcation for large-scale neuromorphic computing systems. In Proceedings of the 2020 on great lakes symposium on VLSI (pp. 415-420). https://doi.org/10.1145/3386263.3406955

  23. Li, H., Liu, Q., Zhang, J., & Lyu, Y. (2015). A survey of hardware trojan detection, diagnosis and prevention. In 2015 14th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics) (pp. 173-180). IEEE. https://doi.org/10.1109/CADGRAPHICS.2015.41

  24. **ao, K., Forte, D., **, Y., Karri, R., Bhunia, S., & Tehranipoor, M. (2016). Hardware Trojans: lessons learned after one decade of research. ACM Transactions on Design Automation of Electronic Systems, 22, 23. https://doi.org/10.1145/2906147

    Article  Google Scholar 

  25. Khalid, F., Hasan, S. R., Hasan, O., & Awwad, F. R. (2018). Runtime hardware Trojan monitors through modeling burst mode communication using formal verification. Integration, 61, 62–76. https://doi.org/10.1016/j.vlsi.2017.11.003

    Article  Google Scholar 

  26. Ma, P., Wang, Z., & Wang, Y. (2024). “A pre-silicon detection based on deep learning model for Hardware Trojans.” Journal of Circuits Systems and Computers, 33(08), 2450144. https://doi.org/10.1142/S0218126624501433

    Article  Google Scholar 

  27. Hasegawa, K., Hidano, S., Nozawa, K., Kiyomoto, S., & Togawa, N. (2023). R-HTDetector: robust hardware-Trojan detection based on adversarial training. IEEE Transactions on Computers, 72(2), 333–345. https://doi.org/10.1109/TC.2022.3222090

    Article  Google Scholar 

  28. Hussain, M., Guo, H., & Parameswaran, S. (2018). A customized authentication design for traffic hijacking detection on hardware-Trojan infected NoCs. Journal of Computer and Communications, 2018(6), 135–152. https://doi.org/10.4236/jcc.2018.61015

    Article  Google Scholar 

  29. Bao, C., Forte, D., & Srivastava, A. (2015). Temperature tracking: toward robust run-time detection of hardware Trojans. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34, 1577–1585. https://doi.org/10.1109/TCAD.2015.2424929

    Article  Google Scholar 

  30. Dupuis, S., Flottes, M., Di Natale, G., & Rouzeyre, B. (2018). Protection against hardware Trojans with logic testing: proposed solutions and challenges ahead. IEEE Design & Test, 35, 73–90. https://doi.org/10.1109/MDAT.2017.2766170

    Article  Google Scholar 

  31. Salmani, H., Tehranipoor, M., & Plusquellic, J. (2012). A novel technique for improving hardware Trojan detection and reducing Trojan activation time. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(1), 112–125. https://doi.org/10.1109/TVLSI.2010.2093547

    Article  Google Scholar 

  32. Zhang, J., Yuan, F., Wei, L., Liu, Y., & Xu, Q. (2015). VeriTrust: verification for hardware trust. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34, 1148–1161. https://doi.org/10.1109/TCAD.2015.2422836

    Article  Google Scholar 

  33. Xue, M., Gu, C., Liu, W., Yu, S., & O’Neill, M. (2020). Ten years of hardware Trojans: a survey from the attacker’s perspective. IET Computers & Digital Techniques, 14, 231–246. https://doi.org/10.1049/iet-cdt.2020.0041

    Article  Google Scholar 

  34. Courbon, F., Loubet-Moundi, P., Fournier, J. J., & Tria, A. (2015, March). A high efficiency hardware trojan detection technique based on fast SEM imaging. In 2015 design, automation & test in Europe conference & exhibition (DATE) (pp. 788-793). IEEE.https://doi.org/10.7873/DATE.2015.1104

  35. Hou, Y., He, H., Shamsi, K., **, Y., Wu, D., & Wu, H. (2019). On-chip analog trojan detection framework for microprocessor trustworthiness. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38, 1820–1830. https://doi.org/10.1109/TCAD.2018.2864246

    Article  Google Scholar 

  36. Ghimire, A., Amsaad, F., Hossain, T., Hoque, T., & Sherif, A. (2023, August). FPGA Hardware Trojan Detection: Golden-Free Machine Learning Approach. In NAECON 2023-IEEE National Aerospace and Electronics Conference (pp. 181-186). IEEE. https://doi.org/10.1109/NAECON58068.2023.10365812

  37. Amornpaisannon, B., Diavastos, A., Peh, L., & Carlson, T. E. (2024). Secure run-time hardware Trojan detection using lightweight analytical models. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 43, 431–441. https://doi.org/10.1109/TCAD.2023.3316113

    Article  Google Scholar 

  38. Li, H., Liu, Q., & Zhang, J. (2016). A survey of hardware Trojan threat and defense. Integration, 55, 426–437. https://doi.org/10.1016/j.vlsi.2016.01.004

    Article  Google Scholar 

  39. Amelian, A., & Borujeni, S. E. (2018). A side-channel analysis for hardware trojan detection based on path delay measurement. Journal of Circuits, Systems and Computers, 27, 1850138. https://doi.org/10.1142/S0218126618501384

    Article  Google Scholar 

  40. Zarrinchian, G., & Zamani, M. S. (2017). Latch-based structure: a high resolution and self-reference technique for hardware Trojan detection. IEEE Transactions on Computers, 66, 100–113. https://doi.org/10.1109/TC.2016.2576444

    Article  MathSciNet  Google Scholar 

  41. Tang, Y., Fang, L., & Li, S. (2019). Activity factor based hardware Trojan detection and localization. Journal of Electronic Testing, 35, 1–10. https://doi.org/10.1007/S10836-019-05803-1

    Article  Google Scholar 

  42. Huang, D. C., Hsiao, C. F., Chang, T. W., et al. (2022). A security method of hardware Trojan detection using path tracking algorithm. EURASIP Journal on Wireless Communications and Networking, 2022, 81. https://doi.org/10.1186/s13638-022-02165-9

    Article  Google Scholar 

  43. Ashok, M., Turner, M. J., Walsworth, R. L., Levine, E. V., & Chandrakasan, A. P. (2022). Hardware Trojan detection using unsupervised deep learning on quantum diamond microscope magnetic field images. ACM Journal on Emerging Technologies in Computing Systems, 18(4), 1–25. https://doi.org/10.1145/3531010

    Article  Google Scholar 

  44. He, J., Zhao, Y., Guo, X., & **, Y. (2017). Hardware Trojan detection through chip-free electromagnetic side-channel statistical analysis. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(10), 2939–2948. https://doi.org/10.1109/TVLSI.2017.2727985

    Article  Google Scholar 

  45. Hicks, M., Finnicum, M., King, S. T., Martin, M. M., & Smith, J. M. (2010). Overcoming an untrusted computing base: Detecting and removing malicious hardware automatically. In 2010 IEEE symposium on security and privacy (pp. 159-172). IEEE. https://doi.org/10.1109/SP.2010.18

  46. Zheng, Z. X., Li, Y. F., Yu, L., Tian, Y., & Liu, Z. L. (2014). Hardware Trojan detection technology based on probabilistic signature. Computing Engineering, 40, 18–22.

    Google Scholar 

  47. Bazzazi, A., Shalmani, M. T., & Hemmatyar, A. M. (2017). Hardware Trojan detection based on logical testing. Journal of Electronic Testing, 33, 381–395. https://doi.org/10.1007/s10836-017-5670-0

    Article  Google Scholar 

  48. Huang, Y., Bhunia, S., & Mishra, P. (2018). Scalable test generation for trojan detection using side channel analysis. IEEE Transactions on Information Forensics and Security, 13, 2746–2760. https://doi.org/10.1109/TIFS.2018.2833059

    Article  Google Scholar 

  49. Priyatharishini, M., & Devi, M. N. (2018). Detection of malicious circuit in hardware using compressive sensing algorithm. In 2018 Second international conference on advances in electronics, computers and communications (ICAECC) (pp. 1-5). IEEE. https://doi.org/10.1109/ICAECC.2018.8479492

  50. Popat, J., & Mehta, U. S. (2016). Transition probabilistic approach for detection and diagnosis of Hardware Trojan in combinational circuits. IEEE Annual India Conference (INDICON), 2016, 1–6.

    Google Scholar 

  51. Govindan, V., & Chakraborty, R. S. (2018). Logic testing for hardware Trojan detection. In S. Bhunia & M. Tehranipoor (Eds.), The hardware Trojan war. Cham: Springer. https://doi.org/10.1007/978-3-319-68511-3_7

    Chapter  Google Scholar 

  52. Naveenkumar, R., Sivamangai, N.M., Napolean, A., Puviarasu, A., & Saranya, G. (2022). Preventive Measure of SAT Attack by Integrating Anti-SAT on Locked Circuit for Improving Hardware Security. 2022 7th International Conference on Communication and Electronics Systems (ICCES), 756–760. https://doi.org/10.1109/ICCES54183.2022.9835923

    Article  Google Scholar 

  53. Grailoo, M., Leier, M., & Pagliarini, S. (2022). Hardware Trojans for Confidence Reduction and Misclassifications on Neural Networks. In 2022 23rd International Symposium on Quality Electronic Design (ISQED) (pp. 1-6). IEEE. https://doi.org/10.1109/ISQED54688.2022.9806246

  54. Chu, C., Jiang, L., Swany, M., & Chen, F. (2023). Qtrojan: A circuit backdoor against quantum neural networks. In ICASSP 2023–2023 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) (pp. 1-5). IEEE.

  55. Khalid, F., Abbassi, I. H., Rehman, S., Kamboh, A. M., Hasan, O., & Shafique, M. (2022). ForASec: formal analysis of hardware Trojan-based security vulnerabilities in sequential circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41(4), 1167–1180. https://doi.org/10.1109/TCAD.2021.3061524

    Article  Google Scholar 

  56. Bhasin, S., Danger, J., Guilley, S., Ngo, X. T., & Sauvage, L. (2013). Hardware Trojan horses in cryptographic IP cores. Workshop on Fault Diagnosis and Tolerance in Cryptography, 2013, 15–29. https://doi.org/10.1109/FDTC.2013.15

    Article  Google Scholar 

  57. Zhong, J., & Wang, J. (2018). Thermal images based Hardware Trojan detection through differential temperature matrix. Optik, 158, 855–860. https://doi.org/10.1016/j.ijleo.2017.12.145

    Article  Google Scholar 

  58. Song, P., Stellari, F., Pfeiffer, D., Culp, J., Weger, A., Bonnoit, A., & Taubenblatt, M. (2011). MARVEL—Malicious alteration recognition and verification by emission of light. In 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (pp. 117-121). IEEE. https://doi.org/10.1109/HST.2011.5955007

  59. Bao, C., Forte, D., & Srivastava, A. (2014). On application of one-class SVM to reverse engineering-based hardware Trojan detection. In Fifteenth International Symposium on Quality Electronic Design (pp. 47-54). IEEE. https://doi.org/10.1109/ISQED.2014.6783305

  60. Guo, X., Dutta, R. G., **, Y., Farahmandi, F., & Mishra, P. (2015, June). Pre-silicon security verification and validation: A formal perspective. In Proceedings of the 52nd annual design automation conference (pp. 1-6). https://doi.org/10.1145/2744769.2747939

  61. Drzevitzky, S., Kastens, U., & Platzner, M. (2009). Proof-carrying hardware: towards runtime verification of reconfigurable modules. International Conference on Reconfigurable Computing and FPGAs, 2009, 189–194. https://doi.org/10.1109/ReConFig.2009.31

    Article  Google Scholar 

  62. Love, E., **, Y., & Makris, Y. (2012). Proof-carrying hardware intellectual property: a pathway to trusted module acquisition. IEEE Transactions on Information Forensics and Security, 7, 25–40. https://doi.org/10.1109/TIFS.2011.2160627

    Article  Google Scholar 

  63. Love, E., **, Y., & Makris, Y. (2011) Enhancing security via provably trustworthy hardware intellectual property. In 2011 IEEE international symposium on hardware-oriented security and trust (pp. 12-17). IEEE. https://doi.org/10.1109/HST.2011.5954988

  64. Necula, G. C. (1997) Proof-carrying code. In Proceedings of the 24th ACM SIGPLAN-SIGACT symposium on Principles of programming languages (pp. 106-119). https://doi.org/10.1145/263699.263712

  65. Appel, A. W. (2001) Foundational proof-carrying code. In Proceedings 16th Annual IEEE Symposium on Logic in Computer Science (pp. 247-256). IEEE.https://doi.org/10.1109/FITS.2003.1264926

  66. Appel, A. W., & McAllester, D. (2001). An indexed model of recursive types for foundational proof-carrying code. ACM Transactions on Programming Languages and Systems, 23, 657–683. https://doi.org/10.1145/504709.504712

    Article  Google Scholar 

  67. Banga, M., & Hsiao, M. S. (2010) Trusted RTL: Trojan detection methodology in pre-silicon designs. In 2010 IEEE international symposium on hardware-oriented security and trust (HOST) (pp. 56-59). IEEE.https://doi.org/10.1109/HST.2010.5513114

  68. Rahman, M. T., Forte, D., Shi, Q., Contreras, G. K., & Tehranipoor, M. (2014) CSST: an efficient secure split-test for preventing IC piracy. In 2014 IEEE 23rd North Atlantic Test Workshop (pp. 43-47). IEEE. https://doi.org/10.1109/NATW.2014.17

  69. Roy, J. A., Koushanfar, F., & Markov, I. L. (2008) EPIC: Ending piracy of integrated circuits. In Proceedings of the conference on Design, automation and test in Europe (pp. 1069-1074). https://doi.org/10.1109/DATE.2008.4484823

  70. **ao, K., Forte, D., & Tehranipoor, M. M. (2015). Efficient and secure split manufacturing via obfuscated built-in self-authentication. IEEE International Symposium on Hardware Oriented Security and Trust (HOST), 2015, 14–19. https://doi.org/10.1109/HST.2015.7140229

    Article  Google Scholar 

  71. Suh, G. E., & Devadas, S. (2007) Physical unclonable functions for device authentication and secret key generation. In Proceedings of the 44th annual design automation conference (pp. 9-14).

  72. Hospodar, G., Maes, R., & Verbauwhede, I. (2012) Machine learning attacks on 65nm Arbiter PUFs: Accurate modeling poses strict bounds on usability. In 2012 IEEE International workshop on Information forensics and security (WIFS) (pp. 37-42). IEEE. https://doi.org/10.1109/WIFS.2012.6412622

  73. Pappu, R., Recht, B., Taylor, J., et al. (2002). Physical one-way functions. Science, 297(5589), 2026–2030. https://doi.org/10.1126/science.1074376

    Article  Google Scholar 

  74. Gassend, B., Clarke, D., Van Dijk, M., & Devadas, S. (2002). Silicon physical random functions. In Proceedings of the 9th ACM Conference on Computer and Communications Security (pp. 148-160).https://doi.org/10.1145/586110.586132

  75. Morozov, S., Maiti, A., & Schaumont, P. (2010). An analysis of delay based PUF implementations on FPGA. In Reconfigurable Computing: Architectures, Tools and Applications: 6th International Symposium, ARC 2010, Bangkok, Thailand, March 17-19, 2010. Proceedings 6 (pp. 382-387). Springer Berlin Heidelberg. https://doi.org/10.1007/978-3-642-12133-3_37

  76. Lee, J. W., Lim, D., Gassend, B., Suh, G. E., Van Dijk, M., & Devadas, S. (2004). A technique to build a secret key in integrated circuits for identification and authentication applications. In 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No. 04CH37525) (pp. 176-179). IEEE. https://doi.org/10.1109/VLSIC.2004.1346548

  77. Holcomb, D. E., Burleson, W. P., & Fu, K. (2009). Power-up SRAM state as an identifying fingerprint and source of true random numbers. IEEE Transactions Computers, 58(9), 1198–1210. https://doi.org/10.1109/TC.2008.212

    Article  MathSciNet  Google Scholar 

  78. Holcomb, D. E., Burleson, W. P., & Fu, K. (2007). Initial SRAM state as a fingerprint and source of true random numbers for RFID tags. In Proceedings of the Conference on RFID Security (Vol. 7, No. 2, p. 01).

  79. Böhm, C., Hofer, M., & Pribyl, W. (2011). A microcontroller sram-puf. In 2011 5th International Conference on Network and System Security (pp. 269-273). IEEE. https://doi.org/10.1109/ICNSS.2011.6060013

  80. Vijayakumar, V., Patil, V., & Kundu, S. (2017). On improving reliability of SRAM-based physically unclonable functions. Journal of Low Power Electronics and Applications, 7(1), 2. https://doi.org/10.3390/jlpea7010002

    Article  Google Scholar 

  81. Cambou, B., & Orlowski, M. (2016). PUF designed with Resistive RAM and Ternary States. In Proceedings of the 11th Annual Cyber and Information Security Research Conference (pp. 1-8). https://doi.org/10.1145/2897795.2897808

  82. Helfmeier, C., Boit,C. Nedospasov, D. Tajik, S. Seifert, J.-P. (2014). Physical vulnerabilities of physically unclonable functions, In Proceedings of the Conference on Design, Automation & Test in Europe, European Design and Automation Association (pp. 1–4) https://doi.org/10.7873/DATE.2014.363

  83. Siddik, M. A. B., & Alam, S. H. (2023) PUF-based Hardware Trojan: Design and Novel Attack on Encryption Circuit. In 2023 International Conference on Electrical, Computer and Communication Engineering (ECCE) (pp. 1-5). IEEE. https://doi.org/10.1109/ECCE57851.2023.10101599.

  84. Naveenkumar, R., Sivamangai, N. M., Napolean, A., et al. (2023). Design of INV/BUFF logic locking for enhancing the hardware security. Journal of Electronic Testing, 39, 141–153. https://doi.org/10.1007/s10836-023-06061-y

    Article  Google Scholar 

  85. Hou, J., Liu, Z., Yang, Z., & Yang, C. (2024). Hardware Trojan attacks on the reconfigurable interconnections of field-programmable gate array-based convolutional neural network accelerators and a physically unclonable function-based countermeasure detection technique. Micromachines., 15(1), 149. https://doi.org/10.3390/mi15010149

    Article  Google Scholar 

  86. Perez, T. D., & Pagliarini, S. N. (2020). a survey on split manufacturing: attacks, defenses, and challenges. IEEE Access, 8, 184013–184035. https://doi.org/10.1109/ACCESS.2020.3029339

    Article  Google Scholar 

  87. Imeson, F., Emtenan, A., Garg, S., & Tripunitara, M. (2013) Securing Computer Hardware Using 3D Integrated Circuit (IC) Technology and Split Manufacturing for Obfuscation. In 22nd USENIX Security Symposium (USENIX Security 13) (pp. 495-510).

  88. Hill, B., Karmazin, R., Otero, C. T. O., Tse, J., & Manohar, R. (2013) A split-foundry asynchronous FPGA. In Proceedings of the IEEE 2013 Custom Integrated Circuits Conference (pp. 1-4). IEEE. https://doi.org/10.1109/CICC.2013.6658536

  89. Karmazin, R., Otero, C.T., & Manohar, R. (2013). cellTK: Automated Layout for Asynchronous Circuits with Nonstandard Cells. 2013 IEEE 19th International Symposium on Asynchronous Circuits and Systems (pp. 58–66). https://doi.org/10.1109/ASYNC.2013.27

  90. **e, Y., Bao, C., & Srivastava, A. (2017). Security-aware 2.5D integrated circuit design flow against hardware IP piracy. Computer, 50, 62–71. https://doi.org/10.1109/MC.2017.121

    Article  Google Scholar 

  91. Roy, J. A., Koushanfar, F., & Markov, I. L. (2010). Ending piracy of integrated circuits. Computer, 43, 30–38. https://doi.org/10.1109/MC.2010.284

    Article  Google Scholar 

  92. Rajendran, J., Kanuparthi, A. K., Zahran, M. M., Addepalli, S., Ormazabal, G., & Karri, R. (2013). Securing processors against insider attacks: a circuit-microarchitecture co-design approach. IEEE Design & Test, 30, 35–44. https://doi.org/10.1109/MDAT.2013.2249554

    Article  Google Scholar 

  93. Rajendran, J., Pino, Y., Sinanoglu, O., & Karri, R. (2012) Logic encryption: A fault analysis perspective. In 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE) (pp. 953-958). IEEE. https://doi.org/10.1109/DATE.2012.6176634

  94. Chakraborty, R. S., & Bhunia, S. (2009) Security against hardware Trojan through a novel application of design obfuscation. In Proceedings of the 2009 International Conference on Computer-Aided Design (pp. 113-116). https://doi.org/10.1145/1687399.1687424

  95. Chakraborty, R. S., & Bhunia, S. (2011). Security against hardware Trojan attacks using key-based design obfuscation. Journal of Electronic Testing, 27(6), 767–785. https://doi.org/10.1007/s10836-011-5255-2

    Article  Google Scholar 

  96. Baumgarten, A., Tyagi, A., & Zambreno, J. (2010). Preventing IC piracy using reconfigurable logic barriers. IEEE Design & Test of Computers, 27(1), 66–75. https://doi.org/10.1109/MDT.2010.24

    Article  Google Scholar 

  97. Yasin, M., Mazumdar, B., Ali, S. S., & Sinanoglu, O. (2015) Security analysis of logic encryption against the most effective side-channel attack: DPA. In 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS) (pp. 97-102). IEEE. https://doi.org/10.1109/DFT.2015.7315143

  98. Chakraborty, A., et al. (2020). Keynote: a disquisition on logic locking. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(10), 1952–1972. https://doi.org/10.1109/TCAD.2019.2944586

    Article  Google Scholar 

  99. Tajik, S., Dietz, E., Frohmann, S., Dittrich, H., Nedospasov, D., Helfmeier, C., Seifert, J., Boit, C., & Hübers, H. (2016). Photonic side-channel analysis of arbiter PUFs. Journal of Cryptology, 30, 550–571. https://doi.org/10.1007/s00145-016-9228-6

    Article  Google Scholar 

  100. Rührmair, U., Xu, X., Sölter, J., Mahmoud, A., Majzoobi, M., Koushanfar, F., & Burleson, W. P. (2014). Efficient power and timing side channels for physical unclonable functions. CHES. https://doi.org/10.1007/978-3-662-44709-3_26

    Article  Google Scholar 

  101. Merli, D., Heyszl, J., Heinz, B., Schuster, D., Stumpf, F., & Sigl, G. (2013). Localized electromagnetic analysis of RO PUFs. In 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (pp. 19-24). IEEE. https://doi.org/10.1109/HST.2013.6581559

  102. Helfmeier, C., Boit, C., Nedospasov, D., & Seifert, J. P. (2013). Cloning physically unclonable functions. In 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (pp. 1-6). IEEE. https://doi.org/10.1109/HST.2013.6581556

  103. Rührmair, U., Sölter, J., Sehnke, F., Xu, X., Mahmoud, A., Stoyanova, V., Dror, G., Schmidhuber, J., Burleson, W. P., & Devadas, S. (2013). PUF Modeling Attacks on Simulated and Silicon Data. IEEE Transactions on Information Forensics and Security, 8, 1876–1891. https://doi.org/10.1109/TIFS.2013.2279798

    Article  Google Scholar 

  104. Zeitouni, S., Oren, Y., Wachsmann, C., Koeberl, P., & Sadeghi, A. (2016). Remanence decay side-channel: the PUF case. IEEE Transactions on Information Forensics and Security, 11, 1106–1116. https://doi.org/10.1109/TIFS.2015.2512534

    Article  Google Scholar 

  105. Gao, Y., Al-Sarawi, S. F., & Abbott, D. (2020). Physical unclonable functions. Nature Electronics, 3, 81–91. https://doi.org/10.1038/s41928-020-0372-5

    Article  Google Scholar 

  106. Naveenkumar, R., Sivamangai, N., Napolean, A., Sridevi, S., Priya, S., & Sivamangai, N. M. (2022). Design and evaluation of XOR arbiter physical unclonable function and its implementation on FPGA in hardware security applications. Journal of Electronic Testing, 38, 653–666. https://doi.org/10.1007/s10836-022-06034-7

    Article  Google Scholar 

  107. Zhang, X., & Tehranipoor, M. (2011). Case study: Detecting hardware Trojans in third-party digital IP cores. In 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (pp. 67-70). IEEE. https://doi.org/10.1109/HST.2011.5954998

  108. Mohri, M., Rostamizadeh, A., & Talwalkar, A. (2018) Foundations of machine learning. MIT press.

  109. Kulkarni, A., Pino, Y., & Mohsenin, T. (2016) SVM-based real-time hardware Trojan detection for many-core platform. In 2016 17th International Symposium on Quality Electronic Design (ISQED) (pp. 362-367). IEEE.

  110. Noor, N. Q. M., Sjarif, N. N. A., Azmi, N. H. F. M., Daud, S. M., & Ka-mardin, K. (2017). “Hardware Trojan identification using machine learning-based classification.” Journal of Telecommunication Electronic and Computer Engineering (JTEC), 9, 23–27.

    Google Scholar 

  111. Sun, C., Cheng, L. Y., Wang, L. W., Huang, Q., Huang, Y., & Feng, G. (2021). A machine learning method for hardware Trojan detection on real chips. AIP Advances, 11, 055006. https://doi.org/10.1063/5.0038773

    Article  Google Scholar 

  112. Samyukta, K., & Ramesh, S. R. (2023). Detection of Hardware Trojan Horse using Unsupervised Learning Approach. In 2023 IEEE International Conference on Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER) (pp. 77-82). IEEE. https://doi.org/10.1109/DISCOVER58830.2023.10316694

  113. Dong, C., et al. (2020). An unsupervised detection approach for hardware Trojans. IEEE Access, 8, 158169–158183. https://doi.org/10.1109/ACCESS.2020.3001239

    Article  Google Scholar 

  114. Tang, W., Su, J., & Gao, Y. (2023). Hardware Trojan detection method based on dual discriminator assisted conditional generation adversarial network. Journal of Electronic Testing, 39, 1–12. https://doi.org/10.1007/s10836-023-06054-x

    Article  Google Scholar 

  115. Aksoy, L., Nguyen, Q. L., Almeida, F., Raik, J., Flottes, M. L., Dupuis, S., & Pagliarini, S. (2021). High-level intellectual property obfuscation via decoy constants. In 2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS) (pp. 1-7). IEEE.https://doi.org/10.1109/IOLTS52814.2021.9486714

  116. Alaql, A., Hoque, T., Forte, D., & Bhunia, S. (2019) Quality obfuscation for error-tolerant and adaptive hardware IP protection. In 2019 IEEE 37th VLSI Test Symposium (VTS) (pp. 1-6). IEEE. https://doi.org/10.1109/VTS.2019.8758637

  117. Sengupta, A., & Rathor, M. (2020). Enhanced security of dsp circuits using multi-key based structural obfuscation and physical-level watermarking for consumer electronics systems. IEEE Transactions on Consumer Electronics, 66, 163–172. https://doi.org/10.1109/TCE.2020.2972808

    Article  Google Scholar 

  118. Lao, Y., & Parhi, K. K. (2014). Protecting DSP circuits through obfuscation. IEEE International Symposium on Circuits and Systems (ISCAS), 2014, 798–801. https://doi.org/10.1109/ISCAS.2014.6865256

    Article  Google Scholar 

  119. Parhi, K. K. (1989). Algorithm transformation techniques for concurrent processors. Proceedings of the IEEE, 77(12), 1879–1895. https://doi.org/10.1109/5.48830

    Article  Google Scholar 

  120. Chakraborty, R. S., & Bhunia, S. (2008). Hardware protection and authentication through netlist level obfuscation. In 2008 IEEE/ACM International Conference on Computer-Aided Design (pp. 674-677). IEEE. https://doi.org/10.1109/ICCAD.2008.4681649

  121. Yuan, Y., Zhang, Y., Zhao, Y., Zhang, X., & Tang, M. (2021). Process variation-resistant golden-free hardware Trojan detection through a power side channel. Security and Communication Networks, 1(8839222), 15. https://doi.org/10.1155/2021/8839222

    Article  Google Scholar 

  122. Becker, G. T., Regazzoni, F., Paar, C., & Burleson, W. P. (2013). Stealthy dopant-level hardware trojans. In Cryptographic Hardware and Embedded Systems-CHES 2013: 15th International Workshop, Santa Barbara, CA, USA, August 20-23, 2013. Proceedings 15 (pp. 197-214). Springer Berlin Heidelberg https://doi.org/10.1007/978-3-642-40349-1_12

  123. Sharma, R., & Ranjan, P. (2021). A review: machine learning based hardware trojan detection. In 2021 10th International Conference on Internet of Everything, Microwave Engineering, Communication and Networks (IEMECON) (pp. 1-4). IEEE. https://doi.org/10.1109/IEMECON53809.2021.9689165.

  124. Ghimire, A., Alkurdi, M., Amsaad, F., Rahman, M. T., & Jhanjhi, N. Z. (2024). AI-enabled Hardware Trojan Detection for Secure and Trusted Context-Aware Embedded Systems. Authorea Preprints. https://doi.org/10.36227/techrxiv.170630749.99115711/v1

  125. Piliposyan, G., & Khursheed, S. (2023). PCB hardware Trojan run-time detection through machine learning. IEEE Transactions on Computers, 72, 1958–1970. https://doi.org/10.1109/TC.2022.3230877

    Article  Google Scholar 

  126. Yu, S., Gu, C., Liu, W., & O’Neill, M. (2021). Deep learning-based hardware Trojan detection with block-based netlist information extraction. IEEE Transactions on Emerging Topics in Computing, 10(4), 1837–1853. https://doi.org/10.1109/TETC.2021.3116484

    Article  Google Scholar 

  127. Sami, M. S. U. I., et al. (2024). Advancing trustworthiness in system-in-package: a novel root-of-trust hardware security module for heterogeneous integration. IEEE Access, 12, 48081–48107. https://doi.org/10.1109/ACCESS.2024.3375874

    Article  Google Scholar 

  128. Yan, M., Wei, H., & Onabajo, M. (2021). On-chip thermal profiling to detect malicious activity: system-level concepts and design of key building blocks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 29(3), 530–543. https://doi.org/10.1109/TVLSI.2020.3047020

    Article  Google Scholar 

Download references

Funding

The authors have not disclosed any funding.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to R. Naveenkumar.

Ethics declarations

Conflict of interest

The authors have not disclosed any competing interests.

Human and Animal Rights

Not Applicable.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Naveenkumar, R., Sivamangai, N.M. Hardware Trojans Detection and Prevention Techniques Review. Wireless Pers Commun 136, 1147–1182 (2024). https://doi.org/10.1007/s11277-024-11334-6

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-024-11334-6

Keywords

Navigation