Log in

Hardware implementation of SLAM algorithms: a survey on implementation approaches and platforms

  • Published:
Artificial Intelligence Review Aims and scope Submit manuscript

Abstract

Simultaneous localization and map** (SLAM) is an active research topic in machine vision and robotics. It has various applications in many different fields such as mobile robots, augmented and virtual reality, medical imaging, image-guided surgery systems, and unmanned aerial vehicles (UAVs). The computational complexity of SLAM algorithms is very high. Therefore, in many applications, it is necessary to implement them in real-time on platforms with low power consumption and small sizes. This paper reviews the implementation and the performance of SLAM algorithms on various platforms. Although there are various review studies on SLAM algorithms, the studies assessing the hardware implementation of these algorithms are very limited. This study attempts to fill this gap. It is shown that using the hardware–software (HW/SW) co-design approaches over mere Software (SW) or hardware (HW) approaches is currently the primary option for implementing SLAM algorithms on hardware platforms. A combination of a hardware accelerator and a software approach increases the speed of the implementation as well as the performance and the speed of the algorithm. Also, dividing different parts of the algorithm according to the structure and the nature of the algorithm between hardware and software in the HW/SW co-design approaches reduces the resource consumption and the cost. Furthermore, the design of hardware-compatible algorithms is one of the most critical gaps in the implementation of SLAM algorithms on hardware platforms.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Subscribe and save

Springer+ Basic
EUR 32.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or Ebook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Price includes VAT (France)

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

References

  • Abouzahir M, Elouardi A, Bouaziz S et al (2014) FastSLAM 2.0 running on a low-cost embedded architecture. In: 2014 13th Int Conf Control Autom Robot Vision, ICARCV 2014. pp 1421–1426. https://doi.org/10.1109/ICARCV.2014.7064524

  • Abouzahir M, Elouardi A, Bouaziz S et al (2015) An improved Rao-Blackwellized particle filter based-SLAM running on an OMAP embedded architecture. In: 2014 2nd World Conf Complex Syst WCCS 2014. pp 716–721. https://doi.org/10.1109/ICoCS.2014.7061001

  • Abouzahir M, Elouardi A, Bouaziz S et al (2016a) Large-scale monocular FastSLAM2.0 acceleration on an embedded heterogeneous architecture. EURASIP J Adv Signal Process. https://doi.org/10.1186/s13634-016-0386-3

    Article  Google Scholar 

  • Abouzahir M, Elouardi A, Bouaziz S et al (2016b) High-level synthesis for FPGA design based-SLAM application. In: 2016b IEEE/ACS 13th international conference of computer systems and applications (AICCSA). IEEE, pp 1–8

  • Abouzahir M, Elouardi A, Latif R et al (2018) Embedding SLAM algorithms: has it come of age? Rob Auton Syst 100:14–26. https://doi.org/10.1016/j.robot.2017.10.019

    Article  Google Scholar 

  • Aguilar-González A, Arias-Estrada M (2016) Towards a smart camera for monocular SLAM. ACM international conference proceeding series. ACM Press, New York, pp 128–135

    Google Scholar 

  • Alcantarilla PF, Yebes JJ, Almazan J, Bergasa LM (2012) On combining visual SLAM and dense scene flow to increase the robustness of localization and map** in dynamic environments. In: 2012 IEEE international conference on robotics and automation. IEEE, pp 1290–1297

  • Aldegheri S, Bombieri N, Bloisi DD, Farinelli A (2019) Data flow ORB-SLAM for real-time performance on embedded GPU Boards. In: IEEE Int Conf Intell Robot Syst. pp 5370–5375. https://doi.org/10.1109/IROS40897.2019.8967814

  • Almadhoun R, Taha T, Seneviratne L et al (2016) A survey on inspecting structures using robotic systems. Int J Adv Robot Syst 13:1–18

    Article  Google Scholar 

  • Angladon V, Gasparini S, Charvillat V et al (2019) An evaluation of real-time RGB-D visual odometry algorithms on mobile devices. J Real-Time Image Process 16:1643–1660. https://doi.org/10.1007/s11554-017-0670-y

    Article  Google Scholar 

  • Annaiyan A, Olivares-Mendez MA, Voos H (2017) Real-time graph-based SLAM in unknown environments using a small UAV. In: 2017 international conference on unmanned aircraft systems, ICUAS 2017. pp 1118–1123

  • Appel R, Folmer H, Kuper J et al (2017) Design-time improvement using a functional approach to specify GraphSLAM with deterministic performance on an FPGA. In: IEEE Int Conf Intell Robot Syst 2017. pp 797–803. https://doi.org/10.1109/IROS.2017.8202241

  • Asadi K, Ramshankar H, Pullagurla H et al (2018) Vision-based integrated mobile robotic system for real-time applications in construction. Autom Constr 96:470–482

    Article  Google Scholar 

  • Asgari B, Hadidi R, Shoghi Ghaleshahi N, Kim H (2020) PISCES: power-aware implementation of SLAM by customizing efficient sparse Algebra. In: Proceedings—design automation conference. pp 1–6

  • Backes L, Rico A, Franke B (2015) Experiences in speeding up computer vision applications on mobile computing platforms. In: Proceedings—2015 international conference on embedded computer systems: architectures, modeling and simulation, SAMOS 2015. pp 1–8

  • Bailey T, Durrant-Whyte HF (2006) Simultaneous localization and map** (SLAM): part I. IEEE Robot Autom Mag 13:108–117. https://doi.org/10.1109/MRA.2006.1678144

    Article  Google Scholar 

  • Bailey T, Nieto J, Guivant J et al (2006) Consistency of the EKF-SLAM algorithm. In: IEEE International Conference on Intelligent Robots and Systems. pp 3562–3568

  • Barfoot TD (2005) Online visual motion estimation using FastSLAM with SIFT features. In: 2005 IEEE/RSJ international conference on intelligent robots and systems. IEEE, pp 579–585

  • Bay H, Tuytelaars T, Van Gool L (2006) SURF: speeded up robust features. In: European conference on computer vision. pp 404–417

  • Bodin B, Nardi L, Zia MZ et al (2016) Integrating Algorithmic parameters into benchmarking and design space exploration in 3D scene understanding. In: Parallel architectures and compilation techniques—conference proceedings, PACT. pp 57–69

  • Bodin B, Wagstaff H, Saecdi S et al (2018) SLAMBench2: multi-objective head-to-head benchmarking for visual SLAM. In: proceedings—IEEE international conference on robotics and automation. pp 3637–3644

  • Boikos K, Bouganis C-S (2016) Semi-dense SLAM on an FPGA SoC. In: FPL 2016—26th international conference on field-programmable logic and applications. IEEE, pp 1–4

  • Boikos K, Bouganis C-S (2017) A high-performance system-on-chip architecture for direct tracking for SLAM. In: 2017 27th international conference on field programmable logic and applications, FPL 2017. IEEE, pp 1–7

  • Boikos K, Bouganis C-S (2019) A scalable FPGA-based architecture for depth estimation in SLAM. In: Hochberger C, Nelson B, Koch A et al (eds) Applied reconfigurable computing. Springer, Cham, pp 181–196

    Chapter  Google Scholar 

  • Bonato V, Peron R, Wolf DF et al (2007) An FPGA implementation for a Kalman filter with application to mobile robotics. In: 2007 symposium on industrial embedded systems proceeedings, SIES’2007. IEEE, pp 148–155

  • Bonato V, Marques E, Constantinides GA (2009) A Floating-point extended Kalman filter implementation for autonomous mobile robots. J Signal Process Syst 56:41–50. https://doi.org/10.1007/s11265-008-0257-8

    Article  Google Scholar 

  • Bouhoun S, Sadoun R, Adnane M (2020) OpenCL implementation of a SLAM system on an SoC-FPGA. J Syst Archit 111:101825. https://doi.org/10.1016/j.sysarc.2020.101825

    Article  Google Scholar 

  • Brenot F, Piat J, Fillatreau P (2016) FPGA based hardware acceleration of a BRIEF correlator module for a monocular SLAM application. In: Proc 10th Int Conf Distrib Smart Camera—ICDSC ’16. pp 184–189. https://doi.org/10.1145/2967413.2967426

  • Bresson G, Alsayed Z, Yu L, Glaser S (2017) Simultaneous localization and map**: a survey of current trends in autonomous driving. IEEE Trans Intell Veh 2:194–220. https://doi.org/10.1109/TIV.2017.2749181

    Article  Google Scholar 

  • Brunetto N, Fioraio N, Stefano Di L (2015a) Interactive RGB-D SLAM on mobile devices. In: Lecture notes in computer science (including subseries lecture notes in artificial intelligence and lecture notes in bioinformatics). pp 339–351

  • Brunetto N, Salti S, Fioraio N et al (2015b) Fusion of inertial and visual measurements for RGB-D SLAM on mobile devices. In: Proc IEEE Int Conf Comput Vis 2015b. pp 148–156. https://doi.org/10.1109/ICCVW.2015.29

  • Bujanca M, Gafton P, Saeedi S et al (2019) SLAMBench 3.0: systematic automated reproducible evaluation of SLAM systems for robot vision challenges and scene understanding. In: 2019 International conference on robotics and automation (ICRA). IEEE, pp 6351–6358

  • Burri M, Nikolic J, Gohl P et al (2016) The EuRoC micro aerial vehicle datasets. Int J Rob Res 35:1157–1163. https://doi.org/10.1177/0278364915620033

    Article  Google Scholar 

  • Cadena C, Carlone L, Carrillo H et al (2016) Past, present, and future of simultaneous localization and map**: towards the robust-perception age. IEEE Trans Robot 32:1309–1332. https://doi.org/10.1109/TRO.2016.2624754

    Article  Google Scholar 

  • Calonder M, Lepetit V, Strecha C, Fua P (2010) BRIEF: binary robust independent elementary features. In: European conference on computer vision. pp 778–792

  • Campos C, Elvira R, Rodriguez JJG et al (2021) ORB-SLAM3: an accurate open-source library for visual, visual-inertial, and multimap SLAM. IEEE Trans Robot 37:1874–1890. https://doi.org/10.1109/TRO.2021.3075644

    Article  Google Scholar 

  • Castro G, Nitsche MA, Pire T et al (2019) Efficient on-board Stereo SLAM through constrained-covisibility strategies. Rob Auton Syst 116:192–205. https://doi.org/10.1016/j.robot.2019.03.015

    Article  Google Scholar 

  • Cavalcanti A, Shirinzadeh B, Zhang M, Kretly LC (2008) Nanorobot hardware architecture for medical defense. Sensors 8:2932–2958. https://doi.org/10.3390/s8052932

    Article  Google Scholar 

  • Chatila R, Laumond JP (1985) Position referencing and consistent world modeling for mobile robots. In: Proceedings—IEEE international conference on robotics and automation. pp 138–145

  • Cho Y (2021) Awesome Slam datasets: a curated list of awesome datasets for SLAM. https://github.com/youngguncho/awesome-slam-datasets. Accessed 11 Feb 2021

  • Cruz S, Munoz DM, Conde M et al (2013a) FPGA implementation of a sequential extended Kalman filter algorithm applied to mobile robotics localization problem. In: 2013a IEEE 4th Latin American symposium on circuits and systems (LASCAS). IEEE, pp 1–4

  • Cruz S, Munoz DM, Conde ME et al (2013b) A hardware approach for solving the robot localization problem using a sequential EKF. In: Proc—IEEE 27th Int Parallel Distrib Process Symp Work PhD Forum, IPDPSW 2013b. pp 306–313. https://doi.org/10.1109/IPDPSW.2013.139

  • Dafir Z, Lamari Y, Slaoui SC (2021) A survey on parallel clustering algorithms for Big Data. Artif Intell Rev 54:2411–2443. https://doi.org/10.1007/s10462-020-09918-2

    Article  Google Scholar 

  • Davison (2003) Real-time simultaneous localisation and map** with a single camera. In: Proceedings ninth IEEE international conference on computer vision, vol. 2. IEEE, pp 1403–1410. https://doi.org/10.1109/ICCV.2003.1238654

  • Davison AJ (2005) Active search for real-time vision. In: Tenth IEEE international conference on computer vision (ICCV’05), vol 1. IEEE, pp 66–73. https://doi.org/10.1109/ICCV.2005.29

  • Davison AJ, Reid ID, Molton ND, Stasse O (2007) MonoSLAM: real-time single camera SLAM. IEEE Trans Pattern Anal Mach Intell 29:1052–1067. https://doi.org/10.1109/TPAMI.2007.1049

    Article  Google Scholar 

  • De Souza Rosa L, Dasu A, Diniz PC, Bonato V (2018) A Faddeev systolic array for EKF-SLAM and its arithmetic data representation impact on FPGA. J Signal Process Syst 90:357–369. https://doi.org/10.1007/s11265-017-1243-9

    Article  Google Scholar 

  • Delmerico J, Scaramuzza D (2018) A benchmark comparison of monocular visual-inertial odometry algorithms for flying robots. In: Proceedings—IEEE international conference on robotics and automation. pp 2502–2509

  • Detone D, Malisiewicz T, Rabinovich A (2018) SuperPoint: self-supervised interest point detection and description. In: IEEE computer society conference on computer vision and pattern recognition workshops. pp 337–349

  • Dine A, Elouardi A, Vincke B, Bouaziz S (2015a) Graph-based SLAM embedded implementation on low-cost architectures: a practical approach. In: Proc—IEEE Int Conf Robot Autom 2015a. pp 4612–4619. https://doi.org/10.1109/ICRA.2015.7139838

  • Dine A, Elouardi A, Vincke B, Bouaziz S (2015b) Speeding up graph-based SLAM algorithm: a GPU-based heterogeneous architecture study. In: Proceedings of the international conference on application-specific systems, architectures and processors. pp 72–73

  • Dine A, Elouardi A, Vincke B, Bouaziz S (2016) Graph-based simultaneous localization and map**: computational complexity reduction on a multicore heterogeneous architecture. IEEE Robot Autom Mag 23:160–173. https://doi.org/10.1109/MRA.2016.2580466

    Article  Google Scholar 

  • Dubbelman G, Browning B (2015) COP-SLAM: closed-form online pose-chain optimization for visual SLAM. IEEE Trans Robot 31:1194–1213. https://doi.org/10.1109/TRO.2015.2473455

    Article  Google Scholar 

  • Durrant-Whyte H, Rye D, Nebot E (1996) Localization of autonomous guided vehicles. Robotics research. Springer, London, pp 613–625

    Chapter  Google Scholar 

  • Dyson Inc (2019) Robot vacuum cleaner. In: Dyson Inc. https://www.dyson.com/vacuum-cleaners/robot-vacuum. Accessed 30 Apr 2021

  • Engel J, Sturm J, Cremers D (2013) Semi-dense visual odometry for a monocular camera. In: Proc IEEE Int Conf Comput Vis. pp 1449–1456. https://doi.org/10.1109/ICCV.2013.183

  • Endres F, Hess J, Sturm J et al (2014) 3-D map** with an RGB-D camera. IEEE Trans Robot 30:177–187. https://doi.org/10.1109/TRO.2013.2279412

    Article  Google Scholar 

  • Engel J, Schöps T, Cremers D (2014) LSD-SLAM: large-scale direct monocular SLAM. In: European conference on computer vision (ECCV). pp 834–849

  • Engel J, Koltun V, Cremers D (2018) Direct sparse odometry. IEEE Trans Pattern Anal Mach Intell 40:611–625. https://doi.org/10.1109/TPAMI.2017.2658577

    Article  Google Scholar 

  • Faessler M, Fontana F, Forster C et al (2016) Autonomous, vision-based flight and live dense 3D map** with a quadrotor micro aerial vehicle. J Field Robot 33:431–450. https://doi.org/10.1002/rob.21581

    Article  Google Scholar 

  • Fang W, Zhang Y, Yu B, Liu S (2017a) FPGA-based ORB feature extraction for real-time visual SLAM. In: 2017a international conference on field programmable technology (ICFPT). IEEE, pp 275–278

  • Fang Z, Yang S, Jain S et al (2017b) Robust autonomous flight in constrained and visually degraded shipboard environments. J Field Robot 34:25–52. https://doi.org/10.1002/rob.21670

    Article  Google Scholar 

  • Fen X, Zhen W (2015) An embedded visual SLAM algorithm based on Kinect and ORB features. In: 2015 34th Chinese control conference (CCC). IEEE, pp 6026–6031

  • Filipenko M, Afanasyev I (2018) Comparison of various SLAM systems for mobile robot in an indoor environment. In: 9th Int Conf Intell Syst 2018 Theory, Res Innov Appl IS 2018—Proc. pp 400–407. https://doi.org/10.1109/IS.2018.8710464

  • Forster C, Pizzoli M, Scaramuzza D (2014) SVO: Fast semi-direct monocular visual odometry. In: 2014 IEEE international conference on robotics and automation (ICRA). IEEE, pp 15–22

  • Forster C, Zhang Z, Gassner M et al (2017a) SVO: semidirect visual odometry for monocular and multicamera systems. IEEE Trans Robot 33:249–265. https://doi.org/10.1109/TRO.2016.2623335

    Article  Google Scholar 

  • Forster C, Zhang Z, Gassner M et al (2017b) Semi-direct visual odometry for monocular, wide-angle, and muti-camera systems. IEEE Trans Robot 33:249–265. https://doi.org/10.1109/TRO.2016.2623335

    Article  Google Scholar 

  • Froß D, Langer J, Froß A et al (2010) Hardware implementation of a particle filter for location estimation. In: 2010 Int Conf Indoor Position Indoor Navig IPIN 2010—Conf Proc. pp 15–17. https://doi.org/10.1109/IPIN.2010.5648092

  • Fuentes-Pacheco J, Ruiz-Ascencio J, Rendón-Mancha JM (2015) Visual simultaneous localization and map**: a survey. Artif Intell Rev 43:55–81. https://doi.org/10.1007/s10462-012-9365-8

    Article  Google Scholar 

  • Garcia AM, Huizar MR, Baumgartner B et al (2011) Embedded platform for automation of medical devices. In: Computing in cardiology. pp 829–832

  • Gautier Q, Shearer A, Matai J et al (2014) Real-time 3D reconstruction for FPGAs: a case study for evaluating the performance, area, and programmability trade-offs of the Altera OpenCL SDK. In: Proc 2014 Int Conf Field-Programmable Technol FPT 2014. pp 326–329. https://doi.org/10.1109/FPT.2014.7082810

  • Gautier Q, Althoff A, Kastner R (2019) FPGA architectures for real-time dense SLAM. In: 2019 IEEE 30th international conference on application-specific systems, architectures and processors (ASAP). IEEE, pp 83–90

  • Geiger A, Lenz P, Stiller C, Urtasun R (2013) Vision meets robotics: the KITTI dataset. Int J Robot Res 32:1231–1237. https://doi.org/10.1177/0278364913491297

    Article  Google Scholar 

  • Ghorbel A, Ben Amor N, Jallouli M, Amouri L (2012) A HW/SW implementation on FPGA of a robot localization algorithm. In: International multi-conference on systems, sygnals & devices. IEEE, pp 1–7

  • Giubilato R, Chiodini S, Pertile M, Debei S (2018) An experimental comparison of ROS-compatible stereo visual SLAM methods for planetary rovers. In: 5th IEEE Int Work Metrol AeroSpace, Metroaerosp 2018—Proc. pp 386–391. https://doi.org/10.1109/MetroAeroSpace.2018.8453534

  • Giubilato R, Chiodini S, Pertile M, Debei S (2019) An evaluation of ROS-compatible stereo visual SLAM methods on a nVidia Jetson TX2. Meas J Int Meas Conf 140:161–170. https://doi.org/10.1016/j.measurement.2019.03.038

    Article  Google Scholar 

  • Gkeka MR, Patras A, Antonopoulos CD et al (2021) FPGA architectures for approximate dense SLAM computing. In: 2021 design, automation & test in Europe conference & exhibition (DATE). IEEE, Grenoble, France. pp 828–833

  • Gonzalez A, Codol JM, Devy M (2011) A C-embedded algorithm for real-time monocular SLAM. In: 2011 18th IEEE Int Conf Electron Circuits, Syst ICECS 2011. pp 665–668. https://doi.org/10.1109/ICECS.2011.6122362

  • Google (2021) ARCore. In: Google. https://developers.google.com/ar. Accessed 30 Apr 2021

  • Goto K, Van De Geijn RA (2008) Anatomy of high-performance matrix multiplication. ACM Trans Math Softw. https://doi.org/10.1145/1356052.1356053

    Article  MathSciNet  MATH  Google Scholar 

  • Gouveia BD, Portugal D, Marques L (2014) Speeding up rao-blackwellized particle filter SLAM with a multithreaded architecture. IEEE Int Conf Intell Robot Syst. https://doi.org/10.1109/IROS.2014.6942766

    Article  Google Scholar 

  • Gouveia BD, Portugal D, Silva DC, Marques L (2015) Computation sharing in distributed robotic systems: a case study on SLAM. IEEE Trans Autom Sci Eng 12:410–422. https://doi.org/10.1109/TASE.2014.2357216

    Article  Google Scholar 

  • Grzonka S, Grisetti G, Burgard W (2009) Towards a navigation system for autonomous indoor flying. In: 2009 IEEE international conference on robotics and automation. IEEE, pp 2878–2883

  • Gu M, Guo K, Wang W et al (2015) An FPGA-based real-time simultaneous localization and map** system. In: 2015 international conference on field programmable technology (FPT). IEEE, pp 200–203

  • Handa A, Whelan T, McDonald J, Davison AJ (2014) A benchmark for RGB-D visual odometry, 3D reconstruction and SLAM. In: Proceedings—IEEE international conference on robotics and automation. pp 1524–1531

  • Hanif MS, Bilal M, Munawar K, Balamash AS (2019) Implementation of an embedded testbed for indoor SLAM. In: Proc IEEE/ACS Int Conf Comput Syst Appl AICCSA 2018. pp 1–8. https://doi.org/10.1109/AICCSA.2018.8612782

  • Helali A, Ameur H, Górriz JM et al (2020) Hardware implementation of real-time pedestrian detection system. Neural Comput Appl 32:12859–12871. https://doi.org/10.1007/s00521-020-04731-y

    Article  Google Scholar 

  • Henry P, Krainin M, Herbst E et al (2012) RGB-D map**: using Kinect-style depth cameras for dense 3D modeling of indoor environments. Int J Robot Res 31:647–663

    Article  Google Scholar 

  • Herrera DC, Kim K, Kannala J et al (2014) DT-SLAM: deferred triangulation for robust SLAM. In: 2014 2nd international conference on 3D vision. IEEE, pp 609–616

  • Hong I, Kim G, Kim Y et al (2015) A 27 mW reconfigurable marker-less logarithmic camera pose estimation engine for mobile augmented reality processor. IEEE J Solid-State Circuits 50:2513–2523. https://doi.org/10.1109/JSSC.2015.2463074

    Article  Google Scholar 

  • Hoorick Van B (2019) FPGA-based simultaneous localization and map** (SLAM ) using high-level synthesis

  • Huang L, Gao T, Li D et al (2021) A highly configurable high-level synthesis functional pattern library. Electronics 10:532. https://doi.org/10.3390/electronics10050532

    Article  Google Scholar 

  • Idris MYI, Arof H, Noor NM et al (2012a) A novel approach of an FPGA design to improve monocular slam feature state covariance matrix computation. In: International journal of innovative computing, information and control. pp 1987–2000

  • Idris MYI, Arof H, Noor NM et al (2012b) A co-processor design to accelerate sequential monocular SLAM EKF process. Measurement 45:2141–2152. https://doi.org/10.1016/j.measurement.2012.05.018

    Article  Google Scholar 

  • Inc. O Structure Sensor—3D scanning, agostomented reality, and more for mobile devices. https://structure.io/. Accessed 30 Apr 2021

  • Intel Intel® RealSenseTM Technology. In: Intel.com. https://www.intel.com/content/www/us/en/architecture-and-technology/realsense-overview.html. Accessed 30 Apr 2021

  • Izeboudjen N, Larbes C, Farah A (2014) A new classification approach for neural networks hardware: from standards chips to embedded systems on chip. Artif Intell Rev 41:491–534. https://doi.org/10.1007/s10462-012-9321-7

    Article  Google Scholar 

  • Jae-Sung Y, Jeong-Hyun K, Hyo-Eun K et al (2013) A unified graphics and vision processor with a 0.89 /spl mu/W/fps pose estimation engine for augmented reality. IEEE Trans Very Large Scale Integr Syst 21:206–216. https://doi.org/10.1109/TVLSI.2012.2186157

    Article  Google Scholar 

  • Kang Z, Yang J, Yang Z, Cheng S (2020) A review of techniques for 3D reconstruction of indoor environments. ISPRS Int J Geo-Inf 9:330

    Article  Google Scholar 

  • Kerl C, Sturm J, Cremers D (2013) Dense visual SLAM for RGB-D cameras. In: 2013 IEEE/RSJ international conference on intelligent robots and systems. IEEE, pp 2100–2106. https://doi.org/10.1109/IROS.2013.6696650

  • Kim G, Lee K, Kim Y et al (2015) A 1.22 TOPS and 1.52 mW/MHz augmented reality multicore processor with neural network NoC for HMD applications. IEEE J Solid-State Circuits 50:113–124. https://doi.org/10.1109/JSSC.2014.2352303

    Article  Google Scholar 

  • Klein G, Murray D (2007) Parallel tracking and map** for small ar workspaces. In: 2007 6th IEEE and ACM international symposium on mixed and augmented reality. IEEE, pp 1–10

  • Klein G, Murray D (2009) Parallel tracking and map** on a camera phone. In: Sci Technol Proc—IEEE 2009 Int Symp Mix augment reality, ISMAR 2009. pp 83–86. https://doi.org/10.1109/ISMAR.2009.5336495

  • Konomura R, Hori K (2016) FPGA-based 6-DoF pose estimation with a monocular camera using non co-planer marker and application on micro quadcopter. In: IEEE Int Conf Intell Robot Syst 2016. pp 4250–4257. https://doi.org/10.1109/IROS.2016.7759626

  • Krombach N, Droeschel D, Houben S, Behnke S (2018) Feature-based visual odometry prior for real-time semi-dense stereo SLAM. Rob Auton Syst 109:38–58. https://doi.org/10.1016/j.robot.2018.08.002

    Article  Google Scholar 

  • Lam SK, Jiang G, Wu M, Cao B (2019) Area-time efficient streaming architecture for FAST and BRIEF detector. IEEE Trans Circuits Syst II Express Briefs 66:282–286. https://doi.org/10.1109/TCSII.2018.2846683

    Article  Google Scholar 

  • Latif R, Saddik A (2019) SLAM algorithms implementation in a UAV, based on a heterogeneous system: a survey. In: Proc 2019 IEEE World Conf Complex Syst WCCS 2019, vol 4. pp, 1–6. https://doi.org/10.1109/ICoCS.2019.8930783

  • Lee S, Lee S (2013) Embedded visual SLAM: applications for low-cost consumer robots. IEEE Robot Autom Mag 20:83–95. https://doi.org/10.1109/MRA.2013.2283642

    Article  Google Scholar 

  • Lee S, Lee S, Yoon JJ (2012) Illumination-invariant localization based on upward looking scenes for low-cost indoor robots. Adv Robot 26:1443–1469. https://doi.org/10.1080/01691864.2012.690189

    Article  Google Scholar 

  • Lee TJ, Kim CH, Cho DID (2019) A monocular vision sensor-based efficient SLAM method for indoor service robots. IEEE Trans Ind Electron 66:318–328. https://doi.org/10.1109/TIE.2018.2826471

    Article  Google Scholar 

  • Lentaris G, Stamoulias I, Soudris D, Lourakis M (2016) HW/SW codesign and FPGA acceleration of visual odometry algorithms for rover navigation on mars. IEEE Trans Circuits Syst Video Technol 26:1563–1577. https://doi.org/10.1109/TCSVT.2015.2452781

    Article  Google Scholar 

  • Leonard J, Durrant-Whyte HF (1991) Mobile robot localization by tracking geometric beacons. IEEE Trans Robot Autom 7:376–382. https://doi.org/10.1109/70.88147

    Article  Google Scholar 

  • Li Q, Rauschenbach T, Wenzel A, Mueller F (2018a) EMB-SLAM: an embedded efficient implementation of rao-blackwellized particle filter based SLAM. In: Proceedings—2018a 3rd international conference on control, robotics and cybernetics, CRC 2018a. IEEE, pp 88–93

  • Li Z, Dong Q, Saligane M et al (2018b) A 1920 × 1080 30-frames/s 2.3 TOPS/W stereo-depth processor for energy-efficient autonomous navigation of micro aerial vehicles. IEEE J Solid-State Circuits 53:76–90. https://doi.org/10.1109/JSSC.2017.2751501

    Article  Google Scholar 

  • Li R, Liu Z, Tan J (2019a) A survey on 3D hand pose estimation: cameras, methods, and datasets. Pattern Recognit 93:251–272. https://doi.org/10.1016/j.patcog.2019.04.026

    Article  Google Scholar 

  • Li Z, Chen Y, Gong L et al (2019b) An 879GOPS 243mW 80fps VGA fully visual CNN-SLAM processor for wide-range autonomous exploration. In: 2019b IEEE international solid- state circuits conference—(ISSCC). IEEE, pp 134–136

  • Li Z, Wang J, Sylvester D et al (2019c) A 1920 × 1080 25-frames/s 2.4-TOPS/W low-power 6-D vision processor for unified optical flow and stereo depth with semi-global matching. IEEE J Solid-State Circuits. https://doi.org/10.1109/jssc.2018.2885559

    Article  Google Scholar 

  • Li J, Deng G, Zhang W et al (2020a) Realization of CUDA-based real-time multi-camera visual SLAM in embedded systems. J Real-Time Image Process 17:713–727. https://doi.org/10.1007/s11554-019-00924-4

    Article  Google Scholar 

  • Li R, Wu J, Liu M et al (2020b) HcveAcc: a high-performance and energy-efficient accelerator for tracking task in VSLAM system. In: 2020b Design, automation & test in Europe conference & exhibition (DATE). IEEE, pp 198–203

  • Liang Z, Wang C (2021) A semi-direct monocular visual SLAM algorithm in complex environments. J Intell Robot Syst 101:25. https://doi.org/10.1007/s10846-020-01297-8

    Article  Google Scholar 

  • Lin C-H, Wang W-Y, Liu S-H et al (2019) Heterogeneous implementation of a novel indirect visual odometry system. IEEE Access 7:34631–34644. https://doi.org/10.1109/ACCESS.2019.2904142

    Article  Google Scholar 

  • Liu S (2020) Engineering autonomous vehicles and robots: the dragonfly modular-based approach. Wiley-IEEE Press, Piscataway

    Book  Google Scholar 

  • Liu Z, Zhu J, Bu J, Chen C (2015) A survey of human pose estimation: the body parts parsing based methods. J vis Commun Image Represent 32:10–19. https://doi.org/10.1016/j.jvcir.2015.06.013

    Article  Google Scholar 

  • Liu R, Yang J, Chen Y, Zhao W (2019) ESLAM: an energy-efficient accelerator for real-time ORB-SLAM on FPGA platform. Proceedings—design automation conference. ACM Press, New York, pp 1–6

    Google Scholar 

  • Liu B, Li L, Liu H (2020a) SoC implementation of visual-inertial odometry for low-cost ground robots. J Phys Conf Ser. https://doi.org/10.1088/1742-6596/1453/1/012091

    Article  Google Scholar 

  • Liu Q, Qin S, Yu B et al (2020b) π-BA: bundle adjustment hardware accelerator based on distribution of 3D-point observations. IEEE Trans Comput 69:1–1. https://doi.org/10.1109/TC.2020.2984611

    Article  Google Scholar 

  • Lowe DG (2004) Distinctive image features from scale-invariant keypoints. Int J Comput vis 60:91–110. https://doi.org/10.1023/B:VISI.0000029664.99615.94

    Article  Google Scholar 

  • Mami S, Lahbib Y, Mami A (2020) A new HLS allocation algorithm for efficient DSP utilization in FPGAs. J Signal Process Syst 92:153–171. https://doi.org/10.1007/s11265-019-01454-9

    Article  Google Scholar 

  • Mamri A, Abouzahir M, Ramzi M, Sbihi M (2021a) High-level synthesis implementation of monocular SLAM on low-cost parallel platforms. In: Lecture notes in networks and systems. Springer, Cham, pp 399–409. https://doi.org/10.1007/978-3-030-73882-2_37

  • Mamri A, Abouzahir M, Ramzi M, Latif R (2021b) ORB-SLAM accelerated on heterogeneous parallel architectures. E3S Web Conf 229:01055. https://doi.org/10.1051/e3sconf/2021b22901055

    Article  Google Scholar 

  • Mandal DK, Jandhyala S, Omer OJ et al (2019) Visual inertial odometry at the edge: a hardware-software co-design approach for ultra-low latency and power. In: Proc 2019 Des Autom Test Eur Conf Exhib DATE 2019. pp 960–963. https://doi.org/10.23919/DATE.2019.8714921

  • Marchand E, Uchiyama H, Spindler F (2016) Pose estimation for augmented reality: a hands-on survey. IEEE Trans vis Comput Graph 22:2633–2651. https://doi.org/10.1109/TVCG.2015.2513408

    Article  Google Scholar 

  • Meireles M, Lourenco R, Dias A et al (2014) Real time visual SLAM for underwater robotic inspection. In: 2014 Oceans—St. John’s. IEEE, pp 1–5

  • Microsoft (2019) Microsoft hololens | mixed reality technology for business. In: Microsoft. https://www.microsoft.com/en-us/hololens. Accessed 30 Apr 2021

  • Milford MJ, Wyeth GF, Prasser D (2004) RatSLAM: a hippocampal model for simultaneous localization and map**. In: IEEE international conference on robotics and automation, 2004. Proceedings. ICRA ’04. 2004, vol 1. IEEE, pp 403–408

  • Mingas G, Tsardoulias E, Petrou L (2012) An FPGA implementation of the SMG-SLAM algorithm. Microprocess Microsyst 36:190–204. https://doi.org/10.1016/j.micpro.2011.12.002

    Article  Google Scholar 

  • Montemerlo M, Thrun S, Roller D, Wegbreit B (2003) FastSLAM 2.0: an improved particle filtering algorithm for simultaneous localization and map** that provably converges. In: IJCAI international joint conference on artificial intelligence. pp 1151–1156

  • Mur-Artal R, Tardos JD (2017) ORB-SLAM2: an open-source SLAM system for monocular, stereo, and RGB-D cameras. IEEE Trans Robot 33:1255–1262. https://doi.org/10.1109/TRO.2017.2705103

    Article  Google Scholar 

  • Mur-Artal R, Montiel JMM, Tardos JD (2015) ORB-SLAM: a versatile and accurate monocular SLAM system. IEEE Trans Robot 31:1147–1163. https://doi.org/10.1109/TRO.2015.2463671

    Article  Google Scholar 

  • Murphy-Chutorian E, Trivedi MM (2009) Head pose estimation in computer vision: a survey. IEEE Trans Pattern Anal Mach Intell 31:607–626. https://doi.org/10.1109/TPAMI.2008.106

    Article  Google Scholar 

  • Nagy B, Foehn P, Scaramuzza D (2020) Faster than FAST: GPU-accelerated frontend for high-speed VIO. In: IEEE/RSJ international conference on intelligent robots and systems (IROS)

  • Nardi L, Bodin B, Zia MZ et al (2015) Introducing SLAMBench, a performance and accuracy benchmarking methodology for SLAM. In: 2015 IEEE international conference on robotics and automation (ICRA). IEEE, pp 5783–5790

  • Newcombe RA, Izadi S, Hilliges O et al (2011a) KinectFusion: real-time dense surface map** and tracking. In: 2011a 10th IEEE international symposium on mixed and augmented reality, ISMAR 2011a. pp 127–136

  • Newcombe RA, Lovegrove SJ, Davison AJ (2011b) DTAM: Dense tracking and map** in real-time. In: 2011b international conference on computer vision. IEEE, pp 2320–2327

  • Nguyen T (2019) Another_VO_SLAM_List. GitHub Repos. https://github.com/thien94/Another_VO_SLAM_List

  • Nguyen DD, Elouardi A, Florez SAR, Bouaziz S (2018) HOOFR SLAM system: an embedded vision SLAM algorithm and its hardware-software map**-based intelligent vehicles applications. IEEE Trans Intell Transp Syst. https://doi.org/10.1109/TITS.2018.2881556

    Article  Google Scholar 

  • Nguyen DD, El Ouardi A, Rodriguez S, Bouaziz S (2020) FPGA implementation of HOOFR bucketing extractor-based real-time embedded SLAM applications. J Real-Time Image Process. https://doi.org/10.1007/s11554-020-00986-9

    Article  Google Scholar 

  • Nikolic J, Rehder J, Burri M et al (2014) A synchronized visual-inertial sensor system with FPGA pre-processing for accurate real-time SLAM. In: 2014 IEEE international conference on robotics and automation (ICRA). IEEE, pp 431–437

  • Nistér D, Naroditsky O, Bergen J (2004) Visual odometry. In: Proceedings of the IEEE computer society conference on computer vision and pattern recognition

  • Nitsche MA, Castro GI, Pire T et al (2017) Constrained-covisibility marginalization for efficient on-board stereo SLAM. In: 2017 European conference on mobile robots (ECMR). IEEE, pp 1–6

  • Ondruska P, Kohli P, Izadi S (2015) MobileFusion: real-time volumetric surface reconstruction and dense tracking on mobile phones. IEEE Trans vis Comput Graph 21:1251–1258. https://doi.org/10.1109/TVCG.2015.2459902

    Article  Google Scholar 

  • Oruklu E, Hanley R, Aslan S et al (2012) System-on-chip design using high-level synthesis tools. Circuits Syst 03:1–9. https://doi.org/10.4236/cs.2012.31001

    Article  Google Scholar 

  • Peng T, Zhang D, Liu R et al (2019) Evaluating the power efficiency of visual SLAM on embedded GPU systems. In: Proc IEEE Natl Aerosp Electron Conf NAECON 2019. pp 117–121. https://doi.org/10.1109/NAECON46414.2019.9058059

  • Peng T, Zhang D, Lahiru D et al (2020) An evaluation of embedded GPU systems for visual SLAM algorithms. Electron Imaging. https://doi.org/10.2352/issn.2470-1173.2020.6.iriacv-325

    Article  Google Scholar 

  • Pham TH, Tran P, Lam SK (2019) High-throughput and area-optimized architecture for rBRIEF feature extraction. IEEE Trans Very Large Scale Integr Syst 27:747–756. https://doi.org/10.1109/TVLSI.2018.2881105

    Article  Google Scholar 

  • Piasco N, Sidibé D, Demonceaux C, Gouet-Brunet V (2018) A survey on visual-based localization: on the benefit of heterogeneous data. Pattern Recognit 74:90–109. https://doi.org/10.1016/j.patcog.2017.09.013

    Article  Google Scholar 

  • Piat J, Fillatreau P, Tortei D et al (2018) HW/SW co-design of a visual SLAM application. J Real-Time Image Process. https://doi.org/10.1007/s11554-018-0836-2

    Article  Google Scholar 

  • Prisacariu VA, Kähler O, Murray DW, Reid ID (2013) Simultaneous 3D tracking and reconstruction on a mobile phone. In: 2013 IEEE international symposium on mixed and augmented reality, ISMAR 2013. pp 89–98

  • Qin S, Liu Q, Yu B, Liu S (2019) π-BA: bundle adjustment acceleration on embedded FPGAs with co-observation optimization. In: 2019 IEEE 27th annual international symposium on field-programmable custom computing machines (FCCM). IEEE, pp 100–108

  • Qureshi F, Krishnan S (2018) Wearable hardware design for the internet of medical things (IoMT). Sensors 18(11):3812

    Article  Google Scholar 

  • Reboucas RA, Eller QDC, Habermann M, Shiguemori EH (2013) Embedded system for visual odometry and localization of moving objects in images acquired by unmanned aerial vehicles. In: Brazilian symposium on computing system engineering, SBESC. pp 35–40

  • Rosten E, Drummond T (2006) Machine learning for high-speed corner detection. In: Lecture notes in computer science (including subseries lecture notes in artificial intelligence and lecture notes in bioinformatics). pp 430–443

  • Rublee E, Rabaud V, Konolige K, Bradski G (2011) ORB: an efficient alternative to SIFT or SURF. In: 2011 international conference on computer vision. IEEE, pp 2564–2571

  • Saeedi S, Bodin B, Wagstaff H et al (2018) Navigating the landscape for real-time localization and map** for robotics and virtual and augmented reality. Proc IEEE 106:2020–2039. https://doi.org/10.1109/JPROC.2018.2856739

    Article  Google Scholar 

  • Scaramuzza D, Fraundorfer F (2011) Visual odometry Part I: the first 30 years and fundamentals. IEEE Robot Autom Mag 18:80–92. https://doi.org/10.1109/MRA.2011.943233

    Article  Google Scholar 

  • Scaramuzza D, Fraundorfer F (2012) Visual odometry part II. IEEE Robot Autom Mag 19:78–90

    Article  Google Scholar 

  • Schaeferling M, Hornung U, Kiefer G (2012) Object recognition and pose estimation on embedded hardware: SURF-based system designs accelerated by FPGA logic. Int J Reconfig Comput 2012:1–16. https://doi.org/10.1155/2012/368351

    Article  Google Scholar 

  • Schops T, Enge J, Cremers D (2014) Semi-dense visual odometry for AR on a smartphone. In: ISMAR 2014 - IEEE Int Symp Mix Augment Real - Sci Technol 2014, Proc. pp 145–150. https://doi.org/10.1109/ISMAR.2014.6948420

  • Schulz VH, Bombardelli FG, Todt E (2015) A SoC with FPGA landmark acquisition system for binocular visual SLAM. In: 2015 12th Latin American robotics symposium and 2015 3rd Brazilian symposium on robotics (LARS-SBR). IEEE, pp 336–341

  • Schulz VH, Bombardelli FG, Todt E (2016) A Harris corner detector implementation in SoC-FPGA for visual SLAM. In: Robotics. pp 57–71

  • Servières M, Renaudin V, Dupuis A, Antigny N (2021) Visual and visual-inertial SLAM: state of the art, classification, and experimental benchmarking. J Sens 2021:1–26. https://doi.org/10.1155/2021/2054828

    Article  Google Scholar 

  • Se S, Jasiobedzki P (2007) Stereo-vision based 3D modeling for unmanned ground vehicles. Int J Intell Control Syst 13:65610X. https://doi.org/10.1117/12.718399

    Article  Google Scholar 

  • Se S, Ng H, Jasiobedzki P, Moyung T (2004) Vision based modeling and localization for planetary exploration rovers. In: 55th international astronautical congress of the international astronautical federation, the international academy of astronautics, and the international institute of space Law. American Institute of Aeronautics and Astronautics, Reston, Virigina

  • Shen S, Michael N (2013) State estimation for indoor and outdoor operation with a micro-aerial vehicle. In: Yoshikawa T, Miyazaki F (eds) Experimental robotics III. Springer, Berlin, pp 273–288

    Chapter  Google Scholar 

  • Shen S, Michael N, Kumar V (2011) Autonomous multi-floor indoor navigation with a computationally constrained MAV. In: 2011 IEEE international conference on robotics and automation. IEEE, pp 20–25

  • Shi X, Cao L, Wang D et al (2018) HERO: Accelerating autonomous robotic tasks with FPGA. In: 2018 IEEE/RSJ international conference on intelligent robots and systems (IROS). IEEE, pp 7766–7772

  • Siciliano B, Khatib O (2009) Sringer handbook of robotics. Choice Rev Online 46:46-3272-46–3272. https://doi.org/10.5860/choice.46-3272

    Article  Google Scholar 

  • Siegwart R, Nourbakhsh IR, Scaramuzza D (2011) Introduction to autonomous mobile robots, 2nd edn. MIT Press

    Google Scholar 

  • Sileshi BG, Ferrer C, Oliver J (2014) Hardware/software co-design of particle filter in grid based Fast-SLAM algorithm. In: Proc Int Conference Embed Syst Appl ESA, Steer Comm World Congr Comput Sci Comput Eng Appl Comput WorldComp, 1

  • Sileshi BG, Oliver J, Toledo R et al (2016a) On the behaviour of low cost laser scanners in HW/SW particle filter SLAM applications. Rob Auton Syst 80:11–23. https://doi.org/10.1016/j.robot.2016.03.002

    Article  Google Scholar 

  • Sileshi BG, Oliver J, Toledo R et al (2016b) Particle filter SLAM on FPGA: a case study on Robot@Factory competition. Robot 2015: second Iberian robotics conference. Adv Intell Syst Comput 417:411–423

    Google Scholar 

  • Simo-Serra E, Trulls E, Ferraz L et al (2015) Discriminative learning of deep convolutional feature point descriptors. In: 2015 IEEE international conference on computer vision (ICCV). IEEE, pp 118–126

  • Spampinato G, Lidholm J, Ahlberg C et al (2011) An embedded stereo vision module for 6D pose estimation and map**. IEEE Int Conf Intell Robot Syst. https://doi.org/10.1109/IROS.2011.6048395

    Article  Google Scholar 

  • Strasdat H, Montiel JMM, Davison AJ (2010) Real-time monocular SLAM: why filter? In: 2010 IEEE international conference on robotics and automation. IEEE, pp 2657–2664

  • Strasdat H, Montiel JMM, Davison AJ (2012) Visual SLAM: why filter? Image vis Comput 30:65–77. https://doi.org/10.1016/j.imavis.2012.02.009

    Article  Google Scholar 

  • Sturm J, Engelhard N, Endres F et al (2012) A benchmark for the evaluation of RGB-D SLAM systems. In: IEEE international conference on intelligent robots and systems. pp 573–580

  • Sugiura K, Matsutani H (2021) An FPGA acceleration and optimization techniques for 2D LiDAR SLAM algorithm. IEICE Trans Inf Syst E104.D:789–800. https://doi.org/10.1587/transinf.2020EDP7174

    Article  Google Scholar 

  • Sugiura K, Matsutani H (2022) A universal LiDAR SLAM accelerator system on low-cost FPGA. IEEE Access 10:26931–26947. https://doi.org/10.1109/ACCESS.2022.3157822

    Article  Google Scholar 

  • Sukvichai K, Wongsuwan K, Kaewnark N, Wisanuvej P (2016) Implementation of visual odometry estimation for underwater robot on ROS by using RaspberryPi 2. Int Conf Electron Inf Commun ICEIC 2016:2–5. https://doi.org/10.1109/ELINFOCOM.2016.7563010

    Article  Google Scholar 

  • Suleiman A, Zhang Z, Carlone L et al (2018) Navion: a fully integrated energy-efficient visual-inertial odometry accelerator for autonomous navigation of nano drones. In: 2018 IEEE symposium on VLSI circuits. pp 133–134

  • Suleiman A, Zhang Z, Carlone L et al (2019) Navion: A 2-mW fully integrated real-time visual-inertial odometry accelerator for autonomous navigation of nano drones. IEEE J Solid-State Circuits 54:1–14. https://doi.org/10.1109/jssc.2018.2886342

    Article  Google Scholar 

  • Sun R, Liu P, Xue J et al (2020) BAX: a bundle adjustment accelerator with decoupled access/execute architecture for visual odometry. IEEE Access 8:75530–75542. https://doi.org/10.1109/ACCESS.2020.2988527

    Article  Google Scholar 

  • Taheri H, **a ZC (2021) SLAM; definition and evolution. Eng Appl Artif Intell 97:104032. https://doi.org/10.1016/j.engappai.2020.104032

    Article  Google Scholar 

  • Taketomi T, Uchiyama H, Ikeda S (2017) Visual SLAM algorithms: a survey from 2010 to 2016. IPSJ Trans Comput vis Appl 9:16. https://doi.org/10.1186/s41074-017-0027-2

    Article  Google Scholar 

  • Tang J, Liu S, Gaudiot J-L (2017) Embedded systems architecture for SLAM applications. ar**v4

  • Tang J, Yu B, Liu S et al (2018) π-SoC: heterogeneous SoC architecture for visual inertial SLAM applications. IEEE Int Conf Intell Robot Syst. https://doi.org/10.1109/IROS.2018.8594181

    Article  Google Scholar 

  • Tang E, Niknam S, Stefanov T (2019) Enabling cognitive autonomy on small drones by efficient on-board embedded computing: an ORB-SLAM2 case study. Proc - Euromicro Conf Digit Syst Des DSD 2019:108–115. https://doi.org/10.1109/DSD.2019.00026

    Article  Google Scholar 

  • Tanskanen P, Kolev K, Meier L et al (2013) Live metric 3D reconstruction on mobile phones. Proc IEEE Int Conf Comput vis. https://doi.org/10.1109/ICCV.2013.15

    Article  Google Scholar 

  • Teichrieb V, Lima M, Lourenc E et al (2007) A survey of online monocular markerless augmented reality. Int J Model Simul Pet Ind 1:1–7

    Google Scholar 

  • Tertei DT, Piat J, Devy M (2014) FPGA design and implementation of a matrix multiplier based accelerator for 3D EKF SLAM. In: 2014 Int Conf Reconfigurable Comput FPGAs, ReConFig 2014. https://doi.org/10.1109/ReConFig.2014.7032523

  • Tertei DT, Piat J, Devy M (2016) FPGA design of EKF block accelerator for 3D visual SLAM. Comput Electr Eng 55:1339–1351. https://doi.org/10.1016/j.compeleceng.2016.05.003

    Article  Google Scholar 

  • Uguen Y, De Dinechin F, Lezaud V, Derrien S (2020) Application-specific arithmetic in high-level synthesis tools. ACM Trans Archit Code Optim. https://doi.org/10.1145/3377403

    Article  Google Scholar 

  • Vakili E, Shoaran M, Sarmadi MR (2020) Single–camera vehicle speed measurement using the geometry of the imaging system. Multimed Tools Appl 79:19307–19327. https://doi.org/10.1007/s11042-020-08761-5

    Article  Google Scholar 

  • Ventura J, Arth C, Reitmayr G, Schmalstieg D (2014) Global localization from monocular SLAM on a mobile phone. IEEE Trans vis Comput Graph 20:531–539. https://doi.org/10.1109/TVCG.2014.27

    Article  Google Scholar 

  • Vincke B, Elouardi A, Lambert A (2010) Design and evaluation of an embedded system based SLAM applications. In: 2010 IEEE/SICE Int Symp Syst Integr SI Int 2010 - 3rd Symp Syst Integr SII 2010, Proc. pp 224–229. https://doi.org/10.1109/SII.2010.5708329

  • Vincke B, Elouardi A, Lambert A (2011) Multiprocessing improvements on a low-cost system based simultaneous localization and map**. In: 2011 international conference on multimedia computing and systems. pp 1–5

  • Vincke B, Elouardi A, Lambert A (2012a) Real time simultaneous localization and map**: Towards low-cost multiprocessor embedded systems. Eurasip J Embed Syst 2012:1–14. https://doi.org/10.1186/1687-3963-2012-5

    Article  Google Scholar 

  • Vincke B, Elouardi A, Lambert A, Merigot A (2012b) Efficient implementation of EKF-SLAM on a multi-core embedded system. In: IECON 2012b—38th annual conference on IEEE Industrial Electronics Society. IEEE, pp 3049–3054

  • Vincke B, Elouardi A, Lambert A, Dine A (2014) SIMD and OpenMP optimization of EKF-SLAM. Int Conf Multimed Comput Syst -pro. https://doi.org/10.1109/ICMCS.2014.6911157

    Article  Google Scholar 

  • Wan Z, Yu B, Li TY et al (2021) A survey of FPGA-based robotic computing. IEEE Circuits Syst Mag 21:48–74. https://doi.org/10.1109/MCAS.2021.3071609

    Article  Google Scholar 

  • Wang Y, Zhang W, An P (2017) A survey of simultaneous localization and map** on unstructured lunar complex environment. In: AIP conference proceedings. p 030010

  • Wang B, Wang H, Yu Y, Zong L (2018) ORB-SLAM based semi-dense map** with monocular camera. In: IEEE Conf Intell Transp Syst proceedings, ITSC 2018. pp 1–5. https://doi.org/10.1109/ITSC.2017.8317640

  • Weberruss J, Kleeman L, Drummond T (2015) ORB Feature extraction and matching in hardware. Australas Conf Robot Autom ACRA. In: Australasian conference on robotics and automation. pp. 2–4

  • Weberruss J, Kleeman L, Boland D, Drummond T (2017) FPGA acceleration of multilevel ORB feature extraction for computer vision. In: 2017 27th Int Conf F Program Log Appl FPL 2017. pp 1–8. https://doi.org/10.23919/FPL.2017.8056856

  • Whelan T, Kaess M, Johannsson H et al (2015) Real-time large-scale dense RGB-D SLAM with volumetric fusion. Int J Rob Res 34:598–626. https://doi.org/10.1177/0278364914551008

    Article  Google Scholar 

  • Williams B (2017) Evaluation of a SoC for real-time 3D SLAM

  • Wu Y, Li Z, Palaiahnakote S, Lu T (2018) Em-SLAM: a fast and robust monocular SLAM method for embedded systems. In: Proc - Int Conf Pattern Recognit 2018. pp 1882–1887. https://doi.org/10.1109/ICPR.2018.8545173

  • Wu Y, Luo L, Yin S et al (2021) An FPGA based energy efficient DS-SLAM accelerator for mobile robots in dynamic environment. Appl Sci 11:1828. https://doi.org/10.3390/app11041828

    Article  Google Scholar 

  • Xu X, Fan H (2016) Feature based simultaneous localization and semi-dense map** with monocular camera. In: 2016 9th international congress on image and signal processing, BioMedical engineering and informatics (CISP-BMEI). IEEE, pp 17–22

  • Xu Z, Yu J, Yu C et al (2020) CNN-based feature-point extraction for real-time visual SLAM on embedded FPGA. In: 2020 IEEE 28th annual international symposium on field-programmable custom computing machines (FCCM). IEEE, pp 33–37

  • Yang N, Wang R, Gao X, Cremers D (2018) Challenges in monocular visual odometry: photometric calibration, motion bias, and rolling shutter effect. IEEE Robot Autom Lett 3:2878–2885. https://doi.org/10.1109/LRA.2018.2846813

    Article  Google Scholar 

  • Yoon J-H, Raychowdhury A (2020) 31.1 A 65nm 8.79TOPS/W 23.82mW mixed-signal oscillator-based NeuroSLAM accelerator for applications in edge robotics. In: 2020 IEEE international solid- state circuits conference—(ISSCC). IEEE, pp 478–480

  • Yoon JS, Kim JH, Kim HE et al (2010) A graphics and vision unified processor with 0.89μw/fps pose estimation engine for augmented reality. In: Digest of technical papers—IEEE international solid-state circuits conference. pp 336–337

  • Younes G, Asmar D, Shammas E, Zelek J (2017) Keyframe-based monocular SLAM: design, survey, and future directions. Rob Auton Syst 98:67–88. https://doi.org/10.1016/j.robot.2017.09.010

    Article  Google Scholar 

  • Yousif K, Bab-Hadiashar A, Hoseinnezhad R (2015) an overview to visual odometry and visual SLAM: applications to mobile robotics. Intell Ind Syst 1:289–311. https://doi.org/10.1007/s40903-015-0032-7

    Article  Google Scholar 

  • Yu J, Gao F, Cao J et al (2020a) CNN-based Monocular Decentralized SLAM on embedded FPGA. In: 2020a IEEE international parallel and distributed processing symposium workshops (IPDPSW). IEEE, pp 66–73

  • Yu J, Xu Z, Zeng S et al (2020b) INCA: Interruptible CNN accelerator for multi-tasking in embedded robots. In: 2020b 57th ACM/IEEE design automation conference (DAC). IEEE, pp 1–6

  • Yuan X, Martínez-Ortega JF, Fernández JAS, Eckert M (2017) AEKF-SLAM: a new algorithm for robotic underwater navigation. Sensors (switzerland). https://doi.org/10.3390/s17051174

    Article  Google Scholar 

  • Zakaryaie Nejad Z, Hosseininaveh Ahmadabadian A (2019) ARM-VO: an efficient monocular visual odometry for ground vehicles on ARM CPUs. Mach vis Appl. https://doi.org/10.1007/s00138-019-01037-5

    Article  Google Scholar 

  • Zhang Z, Suleiman A, Carlone L et al (2017) Visual-inertial odometry on chip: an algorithm-and-hardware co-design approach. In: Robotics: science and systems XIII. Robotics: Science and Systems Foundation

  • Zhang S, Zheng L, Tao W (2021) Survey and evaluation of RGB-D SLAM. IEEE Access 9:21367–21387. https://doi.org/10.1109/ACCESS.2021.3053188

    Article  Google Scholar 

  • Zhao S, Fang Z (2018) Direct depth slam: Sparse geometric feature enhanced direct depth slam system for low-texture environments. Sensors (switzerland). https://doi.org/10.3390/s18103339

    Article  Google Scholar 

  • Zhao X, Liu L, Zheng R et al (2020) A robust stereo feature-aided semi-direct SLAM system. Robot Auton Syst 132:103597. https://doi.org/10.1016/j.robot.2020.103597

    Article  Google Scholar 

  • Zhou G, Liu A, Yang K et al (2014a) An embedded solution to visual map** for consumer drones. In: 2014a IEEE conference on computer vision and pattern recognition workshops. IEEE, pp 670–675

  • Zhou G, Ye J, Ren W et al (2014b) On-board inertial-assisted visual odometer on an embedded system. In: Proceedings—IEEE international conference on robotics and automation. pp 2602–2608

  • Zia MZ, Nardi L, Jack A et al (2016) Comparative design space exploration of dense and semi-dense SLAM. In: Proceedings—IEEE international conference on robotics and automation. pp 1292–1299

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Maryam Shoaran.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Eyvazpour, R., Shoaran, M. & Karimian, G. Hardware implementation of SLAM algorithms: a survey on implementation approaches and platforms. Artif Intell Rev 56, 6187–6239 (2023). https://doi.org/10.1007/s10462-022-10310-5

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10462-022-10310-5

Keywords

Navigation